diff --git a/quartus/qsys/.qsys_edit/arria10_hps.xml b/quartus/qsys/.qsys_edit/arria10_hps.xml index 95c4f96a91720ca24d1308f5fd8a0e902bd64a71..68b7e1f18ae0f6f56c1ae4c1bd3bb3a978d137e3 100644 --- a/quartus/qsys/.qsys_edit/arria10_hps.xml +++ b/quartus/qsys/.qsys_edit/arria10_hps.xml @@ -832,7 +832,7 @@ <fullscreen-action>false</fullscreen-action> <node nodeId="1372710005721" orientation="HORIZONTAL" divider="0.22181146025878004"> <node nodeId="1375985011088" orientation="VERTICAL" divider="0.504054054054054"> - <leaf id="1" nodeId="1375985003630"> + <leaf id="0" nodeId="1375985003630"> <placeholders> <placeholder>dock.single.Clock\ Domains\ \-\ Beta</placeholder> <placeholder>dock.single.IP\ Catalog</placeholder> @@ -858,7 +858,7 @@ </entry> </placeholder-map> </leaf> - <leaf id="3" nodeId="1375985011087"> + <leaf id="1" nodeId="1375985011087"> <placeholders> <placeholder>dock.single.Hierarchy</placeholder> </placeholders> @@ -885,7 +885,7 @@ <node nodeId="1372710005725" orientation="VERTICAL" divider="0.7607555089192025"> <node nodeId="1372710005727" orientation="HORIZONTAL" divider="0.6183193900785428"> <node nodeId="1372710005733" orientation="VERTICAL" divider="0.75"> - <leaf id="0" nodeId="1372710005735"> + <leaf id="2" nodeId="1372710005735"> <placeholders> <placeholder>dock.single.Connections</placeholder> <placeholder>dock.single.System\ Contents</placeholder> @@ -976,7 +976,7 @@ </leaf> </node> </node> - <leaf id="2" nodeId="1372710005745"> + <leaf id="3" nodeId="1372710005745"> <placeholders> <placeholder>dock.single.Messages</placeholder> <placeholder>dock.single.Generation\ Messages</placeholder> @@ -1007,6 +1007,75 @@ </layout> </adjacent> <children ignore="false"> + <child> + <layout factory="predefined" placeholder="dock.single.IP\ Catalog"> + <replacement id="dockablesingle IP Catalog"/> + <delegate id="delegate_ccontrol backup factory id"> + <id>IP Catalog</id> + <area/> + </delegate> + </layout> + <children ignore="false"/> + </child> + <child> + <layout factory="delegate_StackDockStationFactory"> + <selected>0</selected> + <placeholders> + <version>0</version> + <format>dock.PlaceholderList</format> + <entry> + <key shared="false"> + <placeholder>dock.single.Hierarchy</placeholder> + </key> + <item key="convert" type="b">true</item> + <item key="convert-keys" type="a"> + <item type="s">index</item> + <item type="s">id</item> + <item type="s">placeholder</item> + </item> + <item key="dock.index" type="i">0</item> + <item key="dock.id" type="i">0</item> + <item key="dock.placeholder" type="s">dock.single.Hierarchy</item> + </entry> + <entry> + <key shared="false"> + <placeholder>dock.single.Device\ Family</placeholder> + </key> + <item key="convert" type="b">true</item> + <item key="convert-keys" type="a"> + <item type="s">index</item> + <item type="s">id</item> + <item type="s">placeholder</item> + </item> + <item key="dock.index" type="i">1</item> + <item key="dock.id" type="i">1</item> + <item key="dock.placeholder" type="s">dock.single.Device\ Family</item> + </entry> + </placeholders> + </layout> + <children ignore="false"> + <child> + <layout factory="predefined" placeholder="dock.single.Hierarchy"> + <replacement id="dockablesingle Hierarchy"/> + <delegate id="delegate_ccontrol backup factory id"> + <id>Hierarchy</id> + <area/> + </delegate> + </layout> + <children ignore="false"/> + </child> + <child> + <layout factory="predefined" placeholder="dock.single.Device\ Family"> + <replacement id="dockablesingle Device Family"/> + <delegate id="delegate_ccontrol backup factory id"> + <id>Device Family</id> + <area/> + </delegate> + </layout> + <children ignore="false"/> + </child> + </children> + </child> <child> <layout factory="delegate_StackDockStationFactory"> <selected>0</selected> @@ -1115,16 +1184,6 @@ </child> </children> </child> - <child> - <layout factory="predefined" placeholder="dock.single.IP\ Catalog"> - <replacement id="dockablesingle IP Catalog"/> - <delegate id="delegate_ccontrol backup factory id"> - <id>IP Catalog</id> - <area/> - </delegate> - </layout> - <children ignore="false"/> - </child> <child> <layout factory="predefined" placeholder="dock.single.Messages"> <replacement id="dockablesingle Messages"/> @@ -1135,65 +1194,6 @@ </layout> <children ignore="false"/> </child> - <child> - <layout factory="delegate_StackDockStationFactory"> - <selected>0</selected> - <placeholders> - <version>0</version> - <format>dock.PlaceholderList</format> - <entry> - <key shared="false"> - <placeholder>dock.single.Hierarchy</placeholder> - </key> - <item key="convert" type="b">true</item> - <item key="convert-keys" type="a"> - <item type="s">index</item> - <item type="s">id</item> - <item type="s">placeholder</item> - </item> - <item key="dock.index" type="i">0</item> - <item key="dock.id" type="i">0</item> - <item key="dock.placeholder" type="s">dock.single.Hierarchy</item> - </entry> - <entry> - <key shared="false"> - <placeholder>dock.single.Device\ Family</placeholder> - </key> - <item key="convert" type="b">true</item> - <item key="convert-keys" type="a"> - <item type="s">index</item> - <item type="s">id</item> - <item type="s">placeholder</item> - </item> - <item key="dock.index" type="i">1</item> - <item key="dock.id" type="i">1</item> - <item key="dock.placeholder" type="s">dock.single.Device\ Family</item> - </entry> - </placeholders> - </layout> - <children ignore="false"> - <child> - <layout factory="predefined" placeholder="dock.single.Hierarchy"> - <replacement id="dockablesingle Hierarchy"/> - <delegate id="delegate_ccontrol backup factory id"> - <id>Hierarchy</id> - <area/> - </delegate> - </layout> - <children ignore="false"/> - </child> - <child> - <layout factory="predefined" placeholder="dock.single.Device\ Family"> - <replacement id="dockablesingle Device Family"/> - <delegate id="delegate_ccontrol backup factory id"> - <id>Device Family</id> - <area/> - </delegate> - </layout> - <children ignore="false"/> - </child> - </children> - </child> </children> </root> <root name="ccontrol west"> diff --git a/quartus/qsys/.qsys_edit/arria10_hps_schematic.nlv b/quartus/qsys/.qsys_edit/arria10_hps_schematic.nlv index 4f4c3e7199c2e8305f729422813d16a68f51efe5..aab3d2ae6fbbf87bfeb13839c239cbd26dcb2837 100644 --- a/quartus/qsys/.qsys_edit/arria10_hps_schematic.nlv +++ b/quartus/qsys/.qsys_edit/arria10_hps_schematic.nlv @@ -1,9 +1,9 @@ # # File gsaved with Nlview version 6.3.8 2013-12-19 bk=1.2992 VDI=34 GEI=35 # -preplace inst arria10_hps.xcvr_atx_pll_a10_0 -pg 1 -lvl 3 -y 810 +preplace inst arria10_hps.xcvr_atx_pll_a10_0 -pg 1 -lvl 3 -y 950 preplace inst arria10_hps.a10_hps.i_qspi_QSPIDATA -pg 1 preplace inst arria10_hps.a10_hps.fpga_interfaces -pg 1 -preplace inst arria10_hps.eth_tse_0 -pg 1 -lvl 4 -y 370 +preplace inst arria10_hps.eth_tse_0 -pg 1 -lvl 4 -y 420 preplace inst arria10_hps.a10_hps.i_uart_1_uart -pg 1 preplace inst arria10_hps.a10_hps.i_usbotg_0_globgrp -pg 1 preplace inst arria10_hps.a10_hps.i_nand_NANDDATA -pg 1 @@ -17,7 +17,7 @@ preplace inst arria10_hps.eth_tse_0.reg_rst_module -pg 1 preplace inst arria10_hps.eth_tse_0.reg_clk_module -pg 1 preplace inst arria10_hps.a10_hps.f2s_free_clk -pg 1 preplace inst arria10_hps.a10_hps.hps_io -pg 1 -preplace inst arria10_hps.a10_hps -pg 1 -lvl 2 -y 90 +preplace inst arria10_hps.a10_hps -pg 1 -lvl 2 -y 130 preplace inst arria10_hps.a10_hps.i_sdmmc_sdmmc -pg 1 preplace inst arria10_hps.a10_hps.i_fpga_mgr_fpgamgrregs -pg 1 preplace inst arria10_hps.a10_hps.bridges -pg 1 @@ -47,7 +47,7 @@ preplace inst arria10_hps.a10_hps.i_gpio_0_gpio -pg 1 preplace inst arria10_hps.a10_hps.arm_a9_1 -pg 1 preplace inst arria10_hps -pg 1 -lvl 1 -y 40 -regy -20 preplace inst arria10_hps.eth_tse_0.avalon_arbiter -pg 1 -preplace inst arria10_hps.clk_0 -pg 1 -lvl 1 -y 30 +preplace inst arria10_hps.clk_0 -pg 1 -lvl 1 -y 70 preplace inst arria10_hps.a10_hps.i_i2c_emac_1_i2c -pg 1 preplace inst arria10_hps.a10_hps.i_emac_emac0 -pg 1 preplace inst arria10_hps.a10_hps.i_timer_sys_0_timer -pg 1 @@ -59,39 +59,57 @@ preplace inst arria10_hps.a10_hps.i_uart_0_uart -pg 1 preplace inst arria10_hps.a10_hps.i_timer_sp_1_timer -pg 1 preplace inst arria10_hps.a10_hps.mpu_reg_l2_MPUL2 -pg 1 preplace inst arria10_hps.a10_hps.arm_gic_0 -pg 1 -preplace inst arria10_hps.clk_50m -pg 1 -lvl 1 -y 380 -preplace inst arria10_hps.clk_125m -pg 1 -lvl 1 -y 750 +preplace inst arria10_hps.clk_50m -pg 1 -lvl 1 -y 510 +preplace inst arria10_hps.clk_125m -pg 1 -lvl 1 -y 800 preplace inst arria10_hps.a10_hps.i_usbotg_1_globgrp -pg 1 preplace inst arria10_hps.a10_hps.i_emac_emac2 -pg 1 preplace inst arria10_hps.a10_hps.clk_0 -pg 1 -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_status_led_connection,(SLAVE)eth_tse_0.status_led_connection) 1 0 4 NJ 350 NJ 390 NJ 720 NJ -preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(MASTER)clk_125m.clk,(SLAVE)eth_tse_0.rx_cdr_refclk,(SLAVE)eth_tse_0.pcs_ref_clk_clock_connection,(SLAVE)xcvr_atx_pll_a10_0.pll_refclk0) 1 1 3 NJ 760 900 800 1280 -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_axi_slave,(SLAVE)a10_hps.f2h_axi_slave) 1 0 2 NJ 170 NJ -preplace netloc FAN_IN<net_container>arria10_hps</net_container>(MASTER)clk_50m.clk_reset,(MASTER)clk_125m.clk_reset,(SLAVE)eth_tse_0.reset_connection) 1 1 3 410 990 NJ 990 1320 -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)clk_0.clk_in,(SLAVE)arria10_hps.axi_clk) 1 0 1 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.a10_hps_h2f_reset,(MASTER)a10_hps.h2f_reset) 1 2 3 NJ 970 NJ 970 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.clk_0,(SLAVE)clk_50m.clk_in) 1 0 1 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_mac_mdio_connection,(SLAVE)eth_tse_0.mac_mdio_connection) 1 0 4 NJ 450 NJ 450 NJ 780 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.hps_io,(SLAVE)arria10_hps.a10_hps_io) 1 0 2 NJ 270 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.f2h_axi_reset,(SLAVE)arria10_hps.a10_hps_f2h_axi_slave_reset) 1 0 2 NJ 150 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.serial_connection,(SLAVE)arria10_hps.eth_tse_0_serial_connection) 1 0 4 NJ 370 NJ 410 NJ 740 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_status_led_connection,(SLAVE)eth_tse_0.status_led_connection) 1 0 4 NJ 380 NJ 670 NJ 770 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.rx_is_lockedtoref,(SLAVE)arria10_hps.eth_tse_0_rx_is_lockedtoref) 1 0 4 NJ 420 NJ 490 NJ 590 NJ +preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.control_port_clock_connection,(SLAVE)eth_tse_0.receive_clock_connection,(SLAVE)eth_tse_0.transmit_clock_connection,(MASTER)clk_50m.clk) 1 1 3 NJ 590 NJ 690 1780 +preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.h2f_lw_axi_clock,(SLAVE)a10_hps.h2f_axi_clock,(MASTER)clk_0.clk) 1 1 1 690 +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.rx_analogreset,(SLAVE)arria10_hps.eth_tse_0_rx_analogreset) 1 0 4 NJ 650 NJ 650 NJ 750 NJ +preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(MASTER)clk_125m.clk,(SLAVE)eth_tse_0.pcs_ref_clk_clock_connection,(SLAVE)xcvr_atx_pll_a10_0.pll_refclk0,(SLAVE)eth_tse_0.rx_cdr_refclk) 1 1 3 NJ 920 1140 940 1820 +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_axi_slave,(SLAVE)a10_hps.f2h_axi_slave) 1 0 2 NJ 220 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)clk_125m.clk_in_reset,(SLAVE)arria10_hps.reset) 1 0 1 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_rx_set_locktoref,(SLAVE)eth_tse_0.rx_set_locktoref) 1 0 4 NJ 460 NJ 530 NJ 630 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)clk_125m.clk_in,(SLAVE)arria10_hps.clk) 1 0 1 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.serdes_control_connection,(SLAVE)arria10_hps.eth_tse_0_serdes_control_connection) 1 0 4 NJ 480 NJ 550 NJ 650 NJ +preplace netloc POINT_TO_POINT<net_container>arria10_hps</net_container>(MASTER)a10_hps.h2f_axi_master,(SLAVE)eth_tse_0.control_port) 1 2 2 NJ 280 1560 +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.mac_misc_connection,(SLAVE)arria10_hps.eth_tse_0_mac_misc_connection) 1 0 4 NJ 630 NJ 630 NJ 730 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.axi_reset,(SLAVE)clk_0.clk_in_reset) 1 0 1 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)xcvr_atx_pll_a10_0.pll_locked,(SLAVE)arria10_hps.xcvr_atx_pll_a10_0_pll_locked) 1 0 3 NJ 980 NJ 980 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.axi_clk,(SLAVE)clk_0.clk_in) 1 0 1 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_serial_connection,(SLAVE)eth_tse_0.serial_connection) 1 0 4 NJ 500 NJ 570 NJ 670 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_mac_mdio_connection,(SLAVE)eth_tse_0.mac_mdio_connection) 1 0 4 NJ 690 NJ 690 NJ 820 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.rx_set_locktodata,(SLAVE)arria10_hps.eth_tse_0_rx_set_locktodata) 1 0 4 NJ 440 NJ 510 NJ 610 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_io,(SLAVE)a10_hps.hps_io) 1 0 2 NJ 320 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.xcvr_atx_pll_a10_0_tx_serial_clk,(MASTER)xcvr_atx_pll_a10_0.tx_serial_clk) 1 3 2 NJ 980 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.rx_digitalreset,(SLAVE)arria10_hps.eth_tse_0_rx_digitalreset) 1 0 4 NJ 400 NJ 470 NJ 570 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.tx_cal_busy,(SLAVE)arria10_hps.eth_tse_0_tx_cal_busy) 1 0 4 NJ 940 NJ 940 NJ 920 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_rx_cal_busy,(SLAVE)eth_tse_0.rx_cal_busy) 1 0 4 NJ 340 NJ 430 NJ 530 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_tx_digitalreset,(SLAVE)eth_tse_0.tx_digitalreset) 1 0 4 NJ 770 NJ 880 NJ 880 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)a10_hps.h2f_reset,(MASTER)arria10_hps.a10_hps_h2f_reset) 1 2 3 NJ 320 NJ 320 NJ preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)clk_50m.clk_in_reset,(SLAVE)arria10_hps.reset_0) 1 0 1 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_cold_reset_req,(SLAVE)a10_hps.f2h_cold_reset_req) 1 0 2 NJ 190 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.emif,(SLAVE)arria10_hps.a10_hps_emif) 1 0 2 NJ 100 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.a10_hps_f2h_irq0,(MASTER)a10_hps.f2h_irq0) 1 2 3 NJ 180 NJ 180 NJ -preplace netloc POINT_TO_POINT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.tx_serial_clk,(MASTER)xcvr_atx_pll_a10_0.tx_serial_clk) 1 3 1 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.f2h_axi_clock,(SLAVE)arria10_hps.a10_hps_f2h_axi_slave_clock) 1 0 2 NJ 120 NJ -preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.receive_clock_connection,(SLAVE)eth_tse_0.transmit_clock_connection,(SLAVE)eth_tse_0.control_port_clock_connection,(MASTER)clk_50m.clk) 1 1 3 NJ 430 NJ 760 1260 -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.clk,(SLAVE)clk_125m.clk_in) 1 0 1 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_stm_hw_events,(SLAVE)a10_hps.f2h_stm_hw_events) 1 0 2 NJ 230 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)clk_0.clk_in_reset,(SLAVE)arria10_hps.axi_reset) 1 0 1 NJ -preplace netloc POINT_TO_POINT<net_container>arria10_hps</net_container>(SLAVE)eth_tse_0.control_port,(MASTER)a10_hps.h2f_axi_master) 1 2 2 NJ 230 1240 -preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.h2f_lw_axi_clock,(MASTER)clk_0.clk,(SLAVE)a10_hps.h2f_axi_clock) 1 1 1 570 -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.reset,(SLAVE)clk_125m.clk_in_reset) 1 0 1 NJ -preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.h2f_lw_axi_reset,(MASTER)clk_0.clk_reset,(SLAVE)a10_hps.h2f_axi_reset) 1 1 1 510 -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_debug_reset_req,(SLAVE)a10_hps.f2h_debug_reset_req) 1 0 2 NJ 210 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.a10_hps_h2f_lw_axi_master,(MASTER)a10_hps.h2f_lw_axi_master) 1 2 3 NJ 250 NJ 250 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.a10_hps_f2h_irq1,(MASTER)a10_hps.f2h_irq1) 1 2 3 NJ 210 NJ 210 NJ -preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.f2h_warm_reset_req,(SLAVE)arria10_hps.a10_hps_f2h_warm_reset_req) 1 0 2 NJ 250 NJ -levelinfo -pg 1 0 200 1840 -levelinfo -hier arria10_hps 210 240 710 1050 1520 1650 +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_warm_reset_req,(SLAVE)a10_hps.f2h_warm_reset_req) 1 0 2 NJ 300 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_cold_reset_req,(SLAVE)a10_hps.f2h_cold_reset_req) 1 0 2 NJ 240 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_rx_is_lockedtodata,(SLAVE)eth_tse_0.rx_is_lockedtodata) 1 0 4 NJ 360 NJ 450 NJ 550 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.emif,(SLAVE)arria10_hps.a10_hps_emif) 1 0 2 NJ 140 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.a10_hps_f2h_irq0,(MASTER)a10_hps.f2h_irq0) 1 2 3 NJ 220 NJ 220 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.f2h_debug_reset_req,(SLAVE)arria10_hps.a10_hps_f2h_debug_reset_req) 1 0 2 NJ 260 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)xcvr_atx_pll_a10_0.pll_powerdown,(SLAVE)arria10_hps.xcvr_atx_pll_a10_0_pll_powerdown) 1 0 3 NJ 1000 NJ 1000 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_tx_analogreset,(SLAVE)eth_tse_0.tx_analogreset) 1 0 4 NJ 750 NJ 860 NJ 860 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_axi_slave_clock,(SLAVE)a10_hps.f2h_axi_clock) 1 0 2 NJ 40 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)eth_tse_0.receive,(MASTER)arria10_hps.eth_tse_0_receive) 1 4 1 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_stm_hw_events,(SLAVE)a10_hps.f2h_stm_hw_events) 1 0 2 NJ 280 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_tx_serial_clk,(SLAVE)eth_tse_0.tx_serial_clk) 1 0 4 NJ 790 NJ 900 NJ 900 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)clk_50m.clk_in,(SLAVE)arria10_hps.clk_0) 1 0 1 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.a10_hps_f2h_axi_slave_reset,(SLAVE)a10_hps.f2h_axi_reset) 1 0 2 NJ 60 NJ +preplace netloc FAN_OUT<net_container>arria10_hps</net_container>(SLAVE)a10_hps.h2f_lw_axi_reset,(SLAVE)a10_hps.h2f_axi_reset,(MASTER)clk_0.clk_reset) 1 1 1 670 +preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.a10_hps_h2f_lw_axi_master,(MASTER)a10_hps.h2f_lw_axi_master) 1 2 3 NJ 300 NJ 300 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(MASTER)arria10_hps.a10_hps_f2h_irq1,(MASTER)a10_hps.f2h_irq1) 1 2 3 NJ 260 NJ 260 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)xcvr_atx_pll_a10_0.pll_cal_busy,(SLAVE)arria10_hps.xcvr_atx_pll_a10_0_pll_cal_busy) 1 0 3 NJ 960 NJ 960 NJ +preplace netloc EXPORT<net_container>arria10_hps</net_container>(SLAVE)arria10_hps.eth_tse_0_transmit,(SLAVE)eth_tse_0.transmit) 1 0 4 NJ 730 NJ 730 NJ 840 NJ +preplace netloc FAN_IN<net_container>arria10_hps</net_container>(MASTER)clk_50m.clk_reset,(SLAVE)eth_tse_0.reset_connection,(MASTER)clk_125m.clk_reset) 1 1 3 450 610 NJ 710 1620 +levelinfo -pg 1 0 200 2450 +levelinfo -hier arria10_hps 210 240 870 1370 2100 2230 diff --git a/quartus/qsys/.qsys_edit/preferences.xml b/quartus/qsys/.qsys_edit/preferences.xml index f4833a2eaab437d12c6d2f6c7d6464a9f717b4a2..7720bcc53113722c0cc3bf48d77e221a12bbd417 100644 --- a/quartus/qsys/.qsys_edit/preferences.xml +++ b/quartus/qsys/.qsys_edit/preferences.xml @@ -9,7 +9,7 @@ <export preferredWidth="229" /> </columns> </systemtable> - <library expandedCategories="Library,Project" /> - <window width="1338" height="1051" x="894" y="27" /> + <library expandedCategories="Project,Library" /> + <window width="1338" height="1051" x="337" y="27" /> <generation path="" /> </preferences> diff --git a/quartus/qsys/arria10_hps.qsys b/quartus/qsys/arria10_hps.qsys index 83ea465e7700dc01e6fa84f780863c24df09ff17..b3ff96e8be3921fe3d355fda70326bd47d3abbaa 100644 --- a/quartus/qsys/arria10_hps.qsys +++ b/quartus/qsys/arria10_hps.qsys @@ -173,8 +173,8 @@ <interface name="arria10_hps_0_f2sdram2_reset" internal="a10_hps.f2sdram2_reset" /> <interface name="axi_clk" internal="clk_0.clk_in" type="clock" dir="end" /> <interface name="axi_reset" internal="clk_0.clk_in_reset" type="reset" dir="end" /> - <interface name="clk" internal="clk_125m.clk_in" type="clock" dir="end" /> - <interface name="clk_0" internal="clk_50m.clk_in" type="clock" dir="end" /> + <interface name="clk_125m" internal="clk_125m.clk_in" type="clock" dir="end" /> + <interface name="clk_50m" internal="clk_50m.clk_in" type="clock" dir="end" /> <interface name="eth_tse_0_mac_mdio_connection" internal="eth_tse_0.mac_mdio_connection" @@ -265,8 +265,16 @@ internal="eth_tse_0.tx_serial_clk" type="hssi_serial_clock" dir="end" /> - <interface name="reset" internal="clk_125m.clk_in_reset" type="reset" dir="end" /> - <interface name="reset_0" internal="clk_50m.clk_in_reset" type="reset" dir="end" /> + <interface + name="reset_125m" + internal="clk_125m.clk_in_reset" + type="reset" + dir="end" /> + <interface + name="reset_50m" + internal="clk_50m.clk_in_reset" + type="reset" + dir="end" /> <interface name="xcvr_atx_pll_a10_0_pll_cal_busy" internal="xcvr_atx_pll_a10_0.pll_cal_busy" diff --git a/quartus/qsys/arria10_hps.sopcinfo b/quartus/qsys/arria10_hps.sopcinfo index 6fdef9dd44c32a877fdb44d95e233fd77c678f58..fb4b79b1e75ff9132da14468258b6afa4b31a183 100644 --- a/quartus/qsys/arria10_hps.sopcinfo +++ b/quartus/qsys/arria10_hps.sopcinfo @@ -1,11 +1,11 @@ <?xml version="1.0" encoding="UTF-8"?> <EnsembleReport name="arria10_hps" kind="arria10_hps" version="1.0" fabric="QSYS"> <!-- Format version 22.1 922 (Future versions may contain additional information.) --> - <!-- 2025.04.01.18:12:02 --> + <!-- 2025.04.02.15:07:34 --> <!-- A collection of modules and connections --> <parameter name="AUTO_GENERATION_ID"> <type>java.lang.Integer</type> - <value>1743523901</value> + <value>1743599232</value> <derived>false</derived> <enabled>true</enabled> <visible>false</visible> @@ -148,7 +148,7 @@ <sysinfo_type>RESET_DOMAIN</sysinfo_type> <sysinfo_arg>axi_clk</sysinfo_arg> </parameter> - <parameter name="AUTO_CLK_CLOCK_RATE"> + <parameter name="AUTO_CLK_125M_CLOCK_RATE"> <type>java.lang.Long</type> <value>-1</value> <derived>false</derived> @@ -156,9 +156,9 @@ <visible>false</visible> <valid>true</valid> <sysinfo_type>CLOCK_RATE</sysinfo_type> - <sysinfo_arg>clk</sysinfo_arg> + <sysinfo_arg>clk_125m</sysinfo_arg> </parameter> - <parameter name="AUTO_CLK_CLOCK_DOMAIN"> + <parameter name="AUTO_CLK_125M_CLOCK_DOMAIN"> <type>java.lang.Integer</type> <value>-1</value> <derived>false</derived> @@ -166,9 +166,9 @@ <visible>false</visible> <valid>true</valid> <sysinfo_type>CLOCK_DOMAIN</sysinfo_type> - <sysinfo_arg>clk</sysinfo_arg> + <sysinfo_arg>clk_125m</sysinfo_arg> </parameter> - <parameter name="AUTO_CLK_RESET_DOMAIN"> + <parameter name="AUTO_CLK_125M_RESET_DOMAIN"> <type>java.lang.Integer</type> <value>-1</value> <derived>false</derived> @@ -176,9 +176,9 @@ <visible>false</visible> <valid>true</valid> <sysinfo_type>RESET_DOMAIN</sysinfo_type> - <sysinfo_arg>clk</sysinfo_arg> + <sysinfo_arg>clk_125m</sysinfo_arg> </parameter> - <parameter name="AUTO_CLK_0_CLOCK_RATE"> + <parameter name="AUTO_CLK_50M_CLOCK_RATE"> <type>java.lang.Long</type> <value>-1</value> <derived>false</derived> @@ -186,9 +186,9 @@ <visible>false</visible> <valid>true</valid> <sysinfo_type>CLOCK_RATE</sysinfo_type> - <sysinfo_arg>clk_0</sysinfo_arg> + <sysinfo_arg>clk_50m</sysinfo_arg> </parameter> - <parameter name="AUTO_CLK_0_CLOCK_DOMAIN"> + <parameter name="AUTO_CLK_50M_CLOCK_DOMAIN"> <type>java.lang.Integer</type> <value>-1</value> <derived>false</derived> @@ -196,9 +196,9 @@ <visible>false</visible> <valid>true</valid> <sysinfo_type>CLOCK_DOMAIN</sysinfo_type> - <sysinfo_arg>clk_0</sysinfo_arg> + <sysinfo_arg>clk_50m</sysinfo_arg> </parameter> - <parameter name="AUTO_CLK_0_RESET_DOMAIN"> + <parameter name="AUTO_CLK_50M_RESET_DOMAIN"> <type>java.lang.Integer</type> <value>-1</value> <derived>false</derived> @@ -206,7 +206,7 @@ <visible>false</visible> <valid>true</valid> <sysinfo_type>RESET_DOMAIN</sysinfo_type> - <sysinfo_arg>clk_0</sysinfo_arg> + <sysinfo_arg>clk_50m</sysinfo_arg> </parameter> <parameter name="deviceFamily"> <type>java.lang.String</type> diff --git a/quartus/qsys/arria10_hps/arria10_hps.bsf b/quartus/qsys/arria10_hps/arria10_hps.bsf index 392098cb2cbbb4752da89223ed7b6ac1303fec6d..4f0847210b281aa762759fdb0458561846103b33 100644 --- a/quartus/qsys/arria10_hps/arria10_hps.bsf +++ b/quartus/qsys/arria10_hps/arria10_hps.bsf @@ -454,15 +454,15 @@ https://fpgasoftware.intel.com/eula. (port (pt 0 1936) (input) - (text "clk_clk" (rect 0 0 27 12)(font "Arial" (font_size 8))) - (text "clk_clk" (rect 4 1925 46 1936)(font "Arial" (font_size 8))) + (text "clk_125m_clk" (rect 0 0 54 12)(font "Arial" (font_size 8))) + (text "clk_125m_clk" (rect 4 1925 76 1936)(font "Arial" (font_size 8))) (line (pt 0 1936)(pt 272 1936)(line_width 1)) ) (port (pt 0 1976) (input) - (text "clk_0_clk" (rect 0 0 37 12)(font "Arial" (font_size 8))) - (text "clk_0_clk" (rect 4 1965 58 1976)(font "Arial" (font_size 8))) + (text "clk_50m_clk" (rect 0 0 50 12)(font "Arial" (font_size 8))) + (text "clk_50m_clk" (rect 4 1965 70 1976)(font "Arial" (font_size 8))) (line (pt 0 1976)(pt 272 1976)(line_width 1)) ) (port @@ -594,15 +594,15 @@ https://fpgasoftware.intel.com/eula. (port (pt 0 2968) (input) - (text "reset_reset_n" (rect 0 0 56 12)(font "Arial" (font_size 8))) - (text "reset_reset_n" (rect 4 2957 82 2968)(font "Arial" (font_size 8))) + (text "reset_125m_reset_n" (rect 0 0 83 12)(font "Arial" (font_size 8))) + (text "reset_125m_reset_n" (rect 4 2957 112 2968)(font "Arial" (font_size 8))) (line (pt 0 2968)(pt 272 2968)(line_width 1)) ) (port (pt 0 3008) (input) - (text "reset_0_reset_n" (rect 0 0 67 12)(font "Arial" (font_size 8))) - (text "reset_0_reset_n" (rect 4 2997 94 3008)(font "Arial" (font_size 8))) + (text "reset_50m_reset_n" (rect 0 0 80 12)(font "Arial" (font_size 8))) + (text "reset_50m_reset_n" (rect 4 2997 106 3008)(font "Arial" (font_size 8))) (line (pt 0 3008)(pt 272 3008)(line_width 1)) ) (port @@ -1541,9 +1541,9 @@ https://fpgasoftware.intel.com/eula. (text "clk" (rect 277 1851 572 3712)(font "Arial" (color 0 0 0))) (text "axi_reset" (rect 219 1867 492 3747)(font "Arial" (color 128 0 0)(font_size 9))) (text "reset_n" (rect 277 1891 596 3792)(font "Arial" (color 0 0 0))) - (text "clk" (rect 257 1907 532 3827)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk_125m" (rect 219 1907 486 3827)(font "Arial" (color 128 0 0)(font_size 9))) (text "clk" (rect 277 1931 572 3872)(font "Arial" (color 0 0 0))) - (text "clk_0" (rect 242 1947 514 3907)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk_50m" (rect 224 1947 490 3907)(font "Arial" (color 128 0 0)(font_size 9))) (text "clk" (rect 277 1971 572 3952)(font "Arial" (color 0 0 0))) (text "eth_tse_0_mac_mdio_connection" (rect 77 1987 328 3987)(font "Arial" (color 128 0 0)(font_size 9))) (text "mdc" (rect 277 2011 572 4032)(font "Arial" (color 0 0 0))) @@ -1614,9 +1614,9 @@ https://fpgasoftware.intel.com/eula. (text "tx_digitalreset" (rect 277 2883 644 5776)(font "Arial" (color 0 0 0))) (text "eth_tse_0_tx_serial_clk" (rect 132 2899 402 5811)(font "Arial" (color 128 0 0)(font_size 9))) (text "clk" (rect 277 2923 572 5856)(font "Arial" (color 0 0 0))) - (text "reset" (rect 243 2939 516 5891)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_125m" (rect 205 2939 470 5891)(font "Arial" (color 128 0 0)(font_size 9))) (text "reset_n" (rect 277 2963 596 5936)(font "Arial" (color 0 0 0))) - (text "reset_0" (rect 228 2979 498 5971)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_50m" (rect 210 2979 474 5971)(font "Arial" (color 128 0 0)(font_size 9))) (text "reset_n" (rect 277 3003 596 6016)(font "Arial" (color 0 0 0))) (text "xcvr_atx_pll_a10_0_pll_cal_busy" (rect 513 1011 1212 2035)(font "Arial" (color 128 0 0)(font_size 9))) (text "pll_cal_busy" (rect 455 1035 982 2080)(font "Arial" (color 0 0 0))) diff --git a/quartus/qsys/arria10_hps/arria10_hps.cmp b/quartus/qsys/arria10_hps/arria10_hps.cmp index f431aceab8b128fc1c20c778025ef92dc23f3f6d..c36ab5e1a4dd80927bcbcab29dc9bb28ce1d72c2 100644 --- a/quartus/qsys/arria10_hps/arria10_hps.cmp +++ b/quartus/qsys/arria10_hps/arria10_hps.cmp @@ -136,8 +136,8 @@ a10_hps_io_hps_io_phery_i2c1_SCL : inout std_logic := 'X'; -- hps_io_phery_i2c1_SCL axi_clk_clk : in std_logic := 'X'; -- clk axi_reset_reset_n : in std_logic := 'X'; -- reset_n - clk_clk : in std_logic := 'X'; -- clk - clk_0_clk : in std_logic := 'X'; -- clk + clk_125m_clk : in std_logic := 'X'; -- clk + clk_50m_clk : in std_logic := 'X'; -- clk eth_tse_0_mac_mdio_connection_mdc : out std_logic; -- mdc eth_tse_0_mac_mdio_connection_mdio_in : in std_logic := 'X'; -- mdio_in eth_tse_0_mac_mdio_connection_mdio_out : out std_logic; -- mdio_out @@ -189,8 +189,8 @@ eth_tse_0_tx_cal_busy_tx_cal_busy : out std_logic_vector(0 downto 0); -- tx_cal_busy eth_tse_0_tx_digitalreset_tx_digitalreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_digitalreset eth_tse_0_tx_serial_clk_clk : in std_logic_vector(0 downto 0) := (others => 'X'); -- clk - reset_reset_n : in std_logic := 'X'; -- reset_n - reset_0_reset_n : in std_logic := 'X'; -- reset_n + reset_125m_reset_n : in std_logic := 'X'; -- reset_n + reset_50m_reset_n : in std_logic := 'X'; -- reset_n xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy : out std_logic; -- pll_cal_busy xcvr_atx_pll_a10_0_pll_locked_pll_locked : out std_logic; -- pll_locked xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown : in std_logic := 'X'; -- pll_powerdown diff --git a/quartus/qsys/arria10_hps/arria10_hps.html b/quartus/qsys/arria10_hps/arria10_hps.html index b5e90063be99736cc69a6a92f2f8b3d69b53740b..445626df02d964dd387b1275e693a79e8e8d8381 100644 --- a/quartus/qsys/arria10_hps/arria10_hps.html +++ b/quartus/qsys/arria10_hps/arria10_hps.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord </table> <table class="blueBar"> <tr> - <td class="l">2025.04.01.18:12:02</td> + <td class="l">2025.04.02.15:07:34</td> <td class="r">Datasheet</td> </tr> </table> @@ -11226,7 +11226,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord <table class="blueBar"> <tr> <td class="l">generation took 0.01 seconds</td> - <td class="r">rendering took 0.13 seconds</td> + <td class="r">rendering took 0.12 seconds</td> </tr> </table> </body> diff --git a/quartus/qsys/arria10_hps/arria10_hps.qip b/quartus/qsys/arria10_hps/arria10_hps.qip index e277ed0dca29eacae7cf2fc360de0685aa907cf0..5e81b9ea18b33615d1952d91262d878cb755386f 100644 --- a/quartus/qsys/arria10_hps/arria10_hps.qip +++ b/quartus/qsys/arria10_hps/arria10_hps.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_TOOL set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "arria10_hps" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../arria10_hps.sopcinfo"] -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name SLD_INFO "QSYS_NAME arria10_hps HAS_SOPCINFO 1 GENERATION_ID 1743523901" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name SLD_INFO "QSYS_NAME arria10_hps HAS_SOPCINFO 1 GENERATION_ID 1743599232" set_global_assignment -library "arria10_hps" -name MISC_FILE [file join $::quartus(qip_path) "arria10_hps.cmp"] set_global_assignment -library "arria10_hps" -name SLD_FILE [file join $::quartus(qip_path) "arria10_hps.regmap"] set_global_assignment -library "arria10_hps" -name MISC_FILE [file join $::quartus(qip_path) "arria10_hps_a10_hps_hps.svd"] @@ -18,7 +18,7 @@ set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMP set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTc0MzUyMzkwMQ==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTc0MzU5OTIzMg==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBUzA2Nk4zRjQwSTJMRw==::QXV0byBERVZJQ0U=" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Mg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -31,348 +31,12 @@ set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMP set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19BWElfQ0xLX0NMT0NLX1JBVEU=::LTE=::QXV0byBDTE9DS19SQVRF" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19BWElfQ0xLX0NMT0NLX0RPTUFJTg==::LTE=::QXV0byBDTE9DS19ET01BSU4=" set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19BWElfQ0xLX1JFU0VUX0RPTUFJTg==::LTE=::QXV0byBSRVNFVF9ET01BSU4=" -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4=" -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfMF9DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfMF9DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfMF9SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX2V0aF90c2VfMjIxXzJ0eGhoYXE=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_DISPLAY_NAME "VHJpcGxlLVNwZWVkIEV0aGVybmV0IEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_DESCRIPTION "VHJpcGxlIFNwZWVkIEV0aGVybmV0IEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::QXJyaWEgMTA=::RGV2aWNlIEZhbWlseQ==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BhZGRpbmc=::dHJ1ZQ==::ZW5hYmxlX3BhZGRpbmc=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2xndGhfY2hlY2s=::dHJ1ZQ==::ZW5hYmxlX2xndGhfY2hlY2s=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "Z2JpdF9vbmx5::dHJ1ZQ==::Z2JpdF9vbmx5" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "bWJpdF9vbmx5::dHJ1ZQ==::bWJpdF9vbmx5" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "cmVkdWNlZF9jb250cm9s::ZmFsc2U=::cmVkdWNlZF9jb250cm9s" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "Y29yZV92ZXJzaW9u::NTYzMw==::Y29yZV92ZXJzaW9u" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZGV2X3ZlcnNpb24=::NTYzMw==::ZGV2X3ZlcnNpb24=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZWdfZmlmbw==::MjA0OA==::ZWdfZmlmbw==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aW5nX2ZpZm8=::MjA0OA==::aW5nX2ZpZm8=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "cmVkdWNlZF9pbnRlcmZhY2VfZW5h::ZmFsc2U=::cmVkdWNlZF9pbnRlcmZhY2VfZW5h" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "c3luY2hyb25pemVyX2RlcHRo::Mw==::c3luY2hyb25pemVyX2RlcHRo" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5::QVJSSUExMA==::ZGV2aWNlRmFtaWx5" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aXNVc2VNQUM=::dHJ1ZQ==::aXNVc2VNQUM=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aXNVc2VQQ1M=::dHJ1ZQ==::aXNVc2VQQ1M=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2Nsa19zaGFyaW5n::ZmFsc2U=::ZW5hYmxlX2Nsa19zaGFyaW5n" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "Y29yZV92YXJpYXRpb24=::TUFDX1BDUw==::Q29yZSB2YXJpYXRpb24=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aWZHTUlJ::TUlJX0dNSUk=::SW50ZXJmYWNl" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3VzZV9pbnRlcm5hbF9maWZv::dHJ1ZQ==::VXNlIGludGVybmFsIEZJRk8=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VjYw==::ZmFsc2U=::RW5hYmxlIEVDQyBwcm90ZWN0aW9u" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "dXNlX21pc2NfcG9ydHM=::dHJ1ZQ==::VXNlIG1pc2MgcG9ydHM=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "dHJhbnNjZWl2ZXJfdHlwZQ==::R1hC::VHJhbnNjZWl2ZXIgdHlwZQ==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hkX2xvZ2lj::ZmFsc2U=::RW5hYmxlIE1BQyAxMC8xMDAgaGFsZiBkdXBsZXggc3VwcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2dtaWlfbG9vcGJhY2s=::ZmFsc2U=::RW5hYmxlIGxvY2FsIGxvb3BiYWNrIG9uIE1JSS9HTUlJL1JHTUlJKg==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3N1cF9hZGRy::ZmFsc2U=::RW5hYmxlIHN1cHBsZW1lbnRhbCBNQUMgdW5pY2FzdCBhZGRyZXNzZXM=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "c3RhdF9jbnRfZW5h::dHJ1ZQ==::SW5jbHVkZSBzdGF0aXN0aWNzIGNvdW50ZXJz" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZXh0X3N0YXRfY250X2VuYQ==::ZmFsc2U=::RW5hYmxlIDY0LWJpdCBzdGF0aXN0aWNzIGJ5dGUgY291bnRlcnM=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hX2hhc2g=::ZmFsc2U=::SW5jbHVkZSBtdWx0aWNhc3QgaGFzaHRhYmxl" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NoaWZ0MTY=::dHJ1ZQ==::QWxpZ24gcGFja2V0IGhlYWRlcnMgdG8gMzItYml0IGJvdW5kYXJ5" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hY19mbG93X2N0cmw=::ZmFsc2U=::RW5hYmxlIGZ1bGwtZHVwbGV4IGZsb3cgY29udHJvbA==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hY192bGFu::ZmFsc2U=::RW5hYmxlIFZMQU4gZGV0ZWN0aW9u" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hZ2ljX2RldGVjdA==::dHJ1ZQ==::RW5hYmxlIG1hZ2ljIHBhY2tldCBkZXRlY3Rpb24=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "dXNlTURJTw==::dHJ1ZQ==::SW5jbHVkZSBNRElPIG1vZHVsZSAoTURDL01ESU8p" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "bWRpb19jbGtfZGl2::NDA=::SG9zdCBjbG9jayBkaXZpc29y" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VuYQ==::MzI=::V2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZWdfYWRkcg==::MTE=::VHJhbnNtaXQ=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aW5nX2FkZHI=::MTE=::UmVjZWl2ZQ==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "cGh5X2lkZW50aWZpZXI=::MA==::UEhZIElEICgzMiBiaXQp" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NnbWlp::dHJ1ZQ==::RW5hYmxlIFNHTUlJIGJyaWRnZQ==" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "bmZfcGh5aXBfcmNmZ19lbmFibGU=::ZmFsc2U=::RW5hYmxlIEFycmlhIDEwIHRyYW5zY2VpdmVyIGR5bmFtaWMgcmVjb25maWd1cmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBUzA2Nk4zRjQwSTJMRw==::QXV0byBERVZJQ0U=" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Mg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfbWFj" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfbWFj" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "SU5HX0FERFI=::MTE=::SU5HX0FERFI=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19SWF9WTEFO::ZmFsc2U=::RU5BQkxFX01BQ19SWF9WTEFO" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NVUF9BRERS::ZmFsc2U=::RU5BQkxFX1NVUF9BRERS" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::QVJSSUExMA==::REVWSUNFX0ZBTUlMWQ==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "SU5TRVJUX1RB::ZmFsc2U=::SU5TRVJUX1RB" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "SU5HX0ZJRk8=::MjA0OA==::SU5HX0ZJRk8=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VDQw==::ZmFsc2U=::RU5BQkxFX0VDQw==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJEV0lEVEg=::OA==::Q1JDMzJEV0lEVEg=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VOQQ==::MzI=::RU5BQkxFX0VOQQ==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "U1lOQ0hST05JWkVSX0RFUFRI::Mw==::U1lOQ0hST05JWkVSX0RFUFRI" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19UWF9WTEFO::ZmFsc2U=::RU5BQkxFX01BQ19UWF9WTEFO" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfTEVWRUw=::MQ==::UkVTRVRfTEVWRUw=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "U1RBVF9DTlRfRU5B::dHJ1ZQ==::U1RBVF9DTlRfRU5B" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1VTVF9WRVJTSU9O::MA==::Q1VTVF9WRVJTSU9O" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJTMUwyX0VYVEVSTg==::ZmFsc2U=::Q1JDMzJTMUwyX0VYVEVSTg==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "TUJJVF9PTkxZ::dHJ1ZQ==::TUJJVF9PTkxZ" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RUdfQUREUg==::MTE=::RUdfQUREUg==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q09SRV9WRVJTSU9O::NTYzMw==::Q09SRV9WRVJTSU9O" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJHRU5ERUxBWQ==::Ng==::Q1JDMzJHRU5ERUxBWQ==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RUdfRklGTw==::MjA0OA==::RUdfRklGTw==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkVEVUNFRF9JTlRFUkZBQ0VfRU5B::ZmFsc2U=::UkVEVUNFRF9JTlRFUkZBQ0VfRU5B" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BR0lDX0RFVEVDVA==::dHJ1ZQ==::RU5BQkxFX01BR0lDX0RFVEVDVA==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01ESU8=::dHJ1ZQ==::RU5BQkxFX01ESU8=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19UWEFERFJfU0VU::dHJ1ZQ==::RU5BQkxFX01BQ19UWEFERFJfU0VU" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkFNX1RZUEU=::QVVUTw==::UkFNX1RZUEU=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJDSEVDSzE2QklU::MA==::Q1JDMzJDSEVDSzE2QklU" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xHVEhfQ0hFQ0s=::dHJ1ZQ==::RU5BQkxFX0xHVEhfQ0hFQ0s=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19GTE9XX0NUUkw=::ZmFsc2U=::RU5BQkxFX01BQ19GTE9XX0NUUkw=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NISUZUMTY=::dHJ1ZQ==::RU5BQkxFX1NISUZUMTY=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "VVNFX1NZTkNfUkVTRVQ=::dHJ1ZQ==::VVNFX1NZTkNfUkVTRVQ=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkVEVUNFRF9DT05UUk9M::ZmFsc2U=::UkVEVUNFRF9DT05UUk9M" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "TURJT19DTEtfRElW::NDA=::TURJT19DTEtfRElW" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1BBRERJTkc=::dHJ1ZQ==::RU5BQkxFX1BBRERJTkc=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0dNSUlfTE9PUEJBQ0s=::ZmFsc2U=::RU5BQkxFX0dNSUlfTE9PUEJBQ0s=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "R0JJVF9PTkxZ::dHJ1ZQ==::R0JJVF9PTkxZ" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BX0hBU0g=::ZmFsc2U=::RU5BX0hBU0g=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVEVOREVEX1NUQVRfUkVH::ZmFsc2U=::RU5BQkxFX0VYVEVOREVEX1NUQVRfUkVH" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0hEX0xPR0lD::ZmFsc2U=::RU5BQkxFX0hEX0xPR0lD" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "aWZHTUlJ::TUlJX0dNSUk=::SW50ZXJmYWNl" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "dXNlX21pc2NfcG9ydHM=::dHJ1ZQ==::RW5hYmxlIG1pc2MgcG9ydHM=" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "dXNlX21hY19jbGtlbg==::ZmFsc2U=::RW5hYmxlIGNsb2NrIGVuYWJsZSBwb3J0cw==" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Y29ubmVjdF90b19wY3M=::dHJ1ZQ==::Q29ubmVjdCB0aGlzIG1hYyBpbnN0YW5jZSB0byBwY3M=" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfcGNzX3BtYV9uZl9waHlpcA==" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfcGNzX3BtYV9uZl9waHlpcA==" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1RJTUVTVEFNUElORw==::ZmFsc2U=::RU5BQkxFX1RJTUVTVEFNUElORw==" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "REVWX1ZFUlNJT04=::NTYzMw==::REVWX1ZFUlNJT04=" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VDQw==::ZmFsc2U=::RU5BQkxFX0VDQw==" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::QVJSSUExMA==::REVWSUNFX0ZBTUlMWQ==" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "U1lOQ0hST05JWkVSX0RFUFRI::Mw==::U1lOQ0hST05JWkVSX0RFUFRI" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NMS19TSEFSSU5H::ZmFsc2U=::RU5BQkxFX0NMS19TSEFSSU5H" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NHTUlJ::dHJ1ZQ==::RU5BQkxFX1NHTUlJ" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "UEhZX0lERU5USUZJRVI=::MA==::UEhZX0lERU5USUZJRVI=" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "Y29ubmVjdF90b19tYWM=::dHJ1ZQ==::Q29ubmVjdCB0aGlzIFBDUyB0byBNQUM=" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3VzZV9pbnRlcm5hbF9maWZv::dHJ1ZQ==::ZW5hYmxlX3VzZV9pbnRlcm5hbF9maWZv" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hkX2xvZ2lj::ZmFsc2U=::ZW5hYmxlX2hkX2xvZ2lj" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfYXZhbG9uX2FyYml0ZXI=" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfYXZhbG9uX2FyYml0ZXI=" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_PARAMETER "TUFYX0NIQU5ORUxT::MQ==::TUFYX0NIQU5ORUxT" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_PARAMETER "TUFDX09OTFk=::ZmFsc2U=::TUFDX09OTFk=" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_PARAMETER "U0xBVkVfQUREUl9XSURUSA==::OA==::U0xBVkVfQUREUl9XSURUSA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX3hjdnJfbmF0aXZlX2ExMF8yMjFfc2Z2N2prcQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_DISPLAY_NAME "VHJhbnNjZWl2ZXIgTmF0aXZlIFBIWSBJbnRlbCBBcnJpYSAxMCBGUEdBIElQ" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_DESCRIPTION "QXJyaWEgMTAgVHJhbnNjZWl2ZXIgTmF0aXZlIFBIWS4=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX2ZhbWlseQ==::QXJyaWEgMTA=::ZGV2aWNlX2ZhbWlseQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::MTBBUzA2Nk4zRjQwSTJMRw==::ZGV2aWNl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YmFzZV9kZXZpY2U=::TklHSFRGVVJZNA==::YmFzZV9kZXZpY2U=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGVzaWduX2Vudmlyb25tZW50::UVNZUw==::ZGVzaWduX2Vudmlyb25tZW50" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX3JldmlzaW9u::MjBubTQ=::ZGV2aWNlX3JldmlzaW9u" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bWVzc2FnZV9sZXZlbA==::ZXJyb3I=::TWVzc2FnZSBsZXZlbCBmb3IgcnVsZSB2aW9sYXRpb25z" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YW5sZ192b2x0YWdl::MV8wVg==::VkNDUl9HWEIgYW5kIFZDQ1RfR1hCIHN1cHBseSB2b2x0YWdlIGZvciB0aGUgVHJhbnNjZWl2ZXI=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YW5sZ19saW5r::c3I=::VHJhbmNlaXZlciBMaW5rIFR5cGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cHJvdG9jb2xfbW9kZQ==::Z2lnZV8xNTg4::VHJhbnNjZWl2ZXIgY29uZmlndXJhdGlvbiBydWxlcw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cG1hX21vZGU=::YmFzaWM=::UE1BIGNvbmZpZ3VyYXRpb24gcnVsZXM=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZHVwbGV4X21vZGU=::ZHVwbGV4::VHJhbnNjZWl2ZXIgbW9kZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Y2hhbm5lbHM=::MQ==::TnVtYmVyIG9mIGRhdGEgY2hhbm5lbHM=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2RhdGFfcmF0ZQ==::MTI1MA==::RGF0YSByYXRl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cmNmZ19pZmFjZV9lbmFibGU=::MA==::RW5hYmxlIGRhdGFwYXRoIGFuZCBpbnRlcmZhY2UgcmVjb25maWd1cmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NpbXBsZV9pbnRlcmZhY2U=::MQ==::RW5hYmxlIHNpbXBsaWZpZWQgZGF0YSBpbnRlcmZhY2U=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2Rpc2Nvbm5lY3RfYW5hbG9nX3Jlc2V0cw==::MA==::RGlzY29ubmVjdCBhbmFsb2cgcmVzZXRz" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3RyYW5zcGFyZW50X3Bjcw==::MA==::RW5hYmxlIHRyYW5zcGFyZW50IFBDUw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Ym9uZGVkX21vZGU=::bm90X2JvbmRlZA==::VFggY2hhbm5lbCBib25kaW5nIG1vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGNzX2JvbmRpbmdfbWFzdGVy::MA==::QWN0dWFsIFBDUyBUWCBjaGFubmVsIGJvbmRpbmcgbWFzdGVy" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "dHhfcG1hX2Nsa19kaXY=::Mg==::VFggbG9jYWwgY2xvY2sgZGl2aXNpb24gZmFjdG9y" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGxscw==::MQ==::TnVtYmVyIG9mIFRYIFBMTCBjbG9jayBpbnB1dHMgcGVyIGNoYW5uZWw=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGxsX3NlbGVjdA==::MA==::SW5pdGlhbCBUWCBQTEwgY2xvY2sgaW5wdXQgc2VsZWN0aW9u" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfYW5hbG9nX3Jlc2V0X2Fjaw==::MA==::RW5hYmxlIHR4X2FuYWxvZ19yZXNldF9hY2sgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2Nsa291dA==::MA==::RW5hYmxlIHR4X3BtYV9jbGtvdXQgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2Rpdl9jbGtvdXQ=::MA==::RW5hYmxlIHR4X3BtYV9kaXZfY2xrb3V0IHBvcnQ=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2lxdHhyeF9jbGtvdXQ=::MA==::RW5hYmxlIHR4X3BtYV9pcXR4cnhfY2xrb3V0IHBvcnQ=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2VsZWNpZGxl::MA==::RW5hYmxlIHR4X3BtYV9lbGVjaWRsZSBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3FwaXB1bGx1cA==::MA==::RW5hYmxlIHR4X3BtYV9xcGlwdWxsdXAgcG9ydCAoUVBJKQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3FwaXB1bGxkbg==::MA==::RW5hYmxlIHR4X3BtYV9xcGlwdWxsZG4gcG9ydCAoUVBJKQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3R4ZGV0ZWN0cng=::MA==::RW5hYmxlIHR4X3BtYV90eGRldGVjdHJ4IHBvcnQgKFFQSSk=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3J4Zm91bmQ=::MA==::RW5hYmxlIHR4X3BtYV9yeGZvdW5kIHBvcnQgKFFQSSk=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc2VyaWFsbHBia2VuX3R4::MA==::RW5hYmxlIHJ4X3NlcmlhbGxwYmtlbiBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Y2RyX3JlZmNsa19jbnQ=::MQ==::TnVtYmVyIG9mIENEUiByZWZlcmVuY2UgY2xvY2tz" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Y2RyX3JlZmNsa19zZWxlY3Q=::MA==::U2VsZWN0ZWQgQ0RSIHJlZmVyZW5jZSBjbG9jaw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2Nkcl9yZWZjbGtfZnJlcQ==::MTI1LjAwMA==::U2VsZWN0ZWQgQ0RSIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcHBtX2RldGVjdF90aHJlc2hvbGQ=::MTAw::UFBNIGRldGVjdG9yIHRocmVzaG9sZA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcG1hX2N0bGVfYWRhcHRhdGlvbl9tb2Rl::bWFudWFs::Q1RMRSBtb2Rl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcG1hX2RmZV9hZGFwdGF0aW9uX21vZGU=::ZGlzYWJsZWQ=::REZFIG1vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX2FkYXB0YXRpb24=::MA==::RW5hYmxlIGFkYXB0YXRpb24gY29udHJvbCBwb3J0cw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfYW5hbG9nX3Jlc2V0X2Fjaw==::MA==::RW5hYmxlIHJ4X2FuYWxvZ19yZXNldF9hY2sgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2Nsa291dA==::MA==::RW5hYmxlIHJ4X3BtYV9jbGtvdXQgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2Rpdl9jbGtvdXQ=::MQ==::RW5hYmxlIHJ4X3BtYV9kaXZfY2xrb3V0IHBvcnQ=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcG1hX2Rpdl9jbGtvdXRfZGl2aWRlcg==::MQ==::cnhfcG1hX2Rpdl9jbGtvdXQgZGl2aXNpb24gZmFjdG9y" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2lxdHhyeF9jbGtvdXQ=::MA==::RW5hYmxlIHJ4X3BtYV9pcXR4cnhfY2xrb3V0IHBvcnQ=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2Nsa3NsaXA=::MA==::RW5hYmxlIHJ4X3BtYV9jbGtzbGlwIHBvcnQ=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX3FwaXB1bGxkbg==::MA==::RW5hYmxlIHJ4X3BtYV9xcGlwdWxsZG4gcG9ydCAoUVBJKQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfaXNfbG9ja2VkdG9kYXRh::MQ==::RW5hYmxlIHJ4X2lzX2xvY2tlZHRvZGF0YSBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfaXNfbG9ja2VkdG9yZWY=::MQ==::RW5hYmxlIHJ4X2lzX2xvY2tlZHRvcmVmIHBvcnQ=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3J4X21hbnVhbF9jZHJfbW9kZQ==::MQ==::RW5hYmxlIHJ4X3NldF9sb2NrdG9kYXRhIGFuZCByeF9zZXRfbG9ja3RvcmVmIHBvcnRz" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc2lnbmFsZGV0ZWN0::MA==::RW5hYmxlIHJ4X3NpZ25hbGRldGVjdCBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc2VyaWFsbHBia2Vu::MQ==::RW5hYmxlIHJ4X3NlcmlhbGxwYmtlbiBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3J4X3ByYnM=::MA==::RW5hYmxlIFBSQlMgdmVyaWZpZXIgY29udHJvbCBhbmQgc3RhdHVzIHBvcnRz" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3Bjc19wbWFfd2lkdGg=::MTA=::U3RhbmRhcmQgUENTIC8gUE1BIGludGVyZmFjZSB3aWR0aA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGlzcGxheV9zdGRfdHhfcGxkX3Bjc193aWR0aA==::OA==::RlBHQSBmYWJyaWMgLyBTdGFuZGFyZCBUWCBQQ1MgaW50ZXJmYWNlIHdpZHRo" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGlzcGxheV9zdGRfcnhfcGxkX3Bjc193aWR0aA==::OA==::RlBHQSBmYWJyaWMgLyBTdGFuZGFyZCBSWCBQQ1MgaW50ZXJmYWNlIHdpZHRo" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX2xvd19sYXRlbmN5X2J5cGFzc19lbmFibGU=::MA==::RW5hYmxlICdTdGFuZGFyZCBQQ1MnIGxvdyBsYXRlbmN5IG1vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hpcA==::MA==::RW5hYmxlIFBDSWUgaGFyZCBJUCBzdXBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NrcF9wb3J0cw==::MA==::RW5hYmxlIFNLUCBwb3J0cyBmb3IgR2VuMw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfcmVzZXQ=::MA==::RW5hYmxlIGhhcmQgcmVzZXQgY29udHJvbGxlciAoSElQKQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2hpcF9jYWxfZW4=::MA==::RW5hYmxlIFBDSWUgaGFyZCBJUCBjYWxpYnJhdGlvbg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "aGlwX2NhbF9lbg==::ZGlzYWJsZQ==::aGlwX2NhbF9lbg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BjaWVfZGF0YV9tYXNrX29wdGlvbg==::MA==::RW5hYmxlIFBDSWUgZGF0YSBtYXNrIGNvdW50IG11bHRpcGxpZXIgY29udHJvbA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X3BjZmlmb19tb2Rl::cmVnaXN0ZXJfZmlmbw==::VFggRklGTyBtb2Rl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3BjZmlmb19tb2Rl::cmVnaXN0ZXJfZmlmbw==::UlggRklGTyBtb2Rl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfc3RkX3BjZmlmb19mdWxs::MA==::RW5hYmxlIHR4X3N0ZF9wY2ZpZm9fZnVsbCBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfc3RkX3BjZmlmb19lbXB0eQ==::MA==::RW5hYmxlIHR4X3N0ZF9wY2ZpZm9fZW1wdHkgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3BjZmlmb19mdWxs::MA==::RW5hYmxlIHJ4X3N0ZF9wY2ZpZm9fZnVsbCBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3BjZmlmb19lbXB0eQ==::MA==::RW5hYmxlIHJ4X3N0ZF9wY2ZpZm9fZW1wdHkgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2J5dGVfc2VyX21vZGU=::RGlzYWJsZWQ=::VFggYnl0ZSBzZXJpYWxpemVyIG1vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X2J5dGVfZGVzZXJfbW9kZQ==::RGlzYWJsZWQ=::UlggYnl0ZSBkZXNlcmlhbGl6ZXIgbW9kZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4XzhiMTBiX2VuYWJsZQ==::MQ==::RW5hYmxlIFRYIDhCLzEwQiBlbmNvZGVy" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4XzhiMTBiX2Rpc3BfY3RybF9lbmFibGU=::MA==::RW5hYmxlIFRYIDhCLzEwQiBkaXNwYXJpdHkgY29udHJvbA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4XzhiMTBiX2VuYWJsZQ==::MQ==::RW5hYmxlIFJYIDhCLzEwQiBkZWNvZGVy" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3JtZmlmb19tb2Rl::ZGlzYWJsZWQ=::UlggcmF0ZSBtYXRjaCBGSUZPIG1vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3JtZmlmb19wYXR0ZXJuX24=::MA==::UlggcmF0ZSBtYXRjaCBpbnNlcnQvZGVsZXRlIC12ZSBwYXR0ZXJuIChoZXgp" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3JtZmlmb19wYXR0ZXJuX3A=::MA==::UlggcmF0ZSBtYXRjaCBpbnNlcnQvZGVsZXRlICt2ZSBwYXR0ZXJuIChoZXgp" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3JtZmlmb19mdWxs::MA==::RW5hYmxlIHJ4X3N0ZF9ybWZpZm9fZnVsbCBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3JtZmlmb19lbXB0eQ==::MA==::RW5hYmxlIHJ4X3N0ZF9ybWZpZm9fZW1wdHkgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGNpZV9yYXRlX21hdGNo::QnlwYXNz::UENJIEV4cHJlc3MgR2VuIDMgcmF0ZSBtYXRjaCBGSUZPIG1vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2JpdHNsaXBfZW5hYmxl::MA==::RW5hYmxlIFRYIGJpdHNsaXA=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfc3RkX2JpdHNsaXBib3VuZGFyeXNlbA==::MA==::RW5hYmxlIHR4X3N0ZF9iaXRzbGlwYm91bmRhcnlzZWwgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9tb2Rl::c3luY2hyb25vdXMgc3RhdGUgbWFjaGluZQ==::Ulggd29yZCBhbGlnbmVyIG1vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9wYXR0ZXJuX2xlbg==::Nw==::Ulggd29yZCBhbGlnbmVyIHBhdHRlcm4gbGVuZ3Ro" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9wYXR0ZXJu::MTI0::Ulggd29yZCBhbGlnbmVyIHBhdHRlcm4gKGhleCk=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9ya251bWJlcg==::Mw==::TnVtYmVyIG9mIHdvcmQgYWxpZ25tZW50IHBhdHRlcm5zIHRvIGFjaGlldmUgc3luYw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9yZW51bWJlcg==::Mw==::TnVtYmVyIG9mIGludmFsaWQgZGF0YSB3b3JkcyB0byBsb3NlIHN5bmM=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9yZ251bWJlcg==::Mw==::TnVtYmVyIG9mIHZhbGlkIGRhdGEgd29yZHMgdG8gZGVjcmVtZW50IGVycm9yIGNvdW50" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9ydm51bWJlcg==::MA==::TnVtYmVyIG9mIHZhbGlkIGRhdGEgcGF0dGVybnMgcmVxdWlyZWQgdG8gYWNoaWV2ZSB3b3JkIGFsaWdubWVudA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9mYXN0X3N5bmNfc3RhdHVzX2VuYWJsZQ==::MA==::RW5hYmxlIGZhc3Qgc3luYyBzdGF0dXMgcmVwb3J0aW5nIGZvciBkZXRlcm1pbmlzdGljIGxhdGVuY3kgU00=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3dhX3BhdHRlcm5hbGlnbg==::MA==::RW5hYmxlIHJ4X3N0ZF93YV9wYXR0ZXJuYWxpZ24gcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3dhX2ExYTJzaXpl::MA==::RW5hYmxlIHJ4X3N0ZF93YV9hMWEyc2l6ZSBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2JpdHNsaXBib3VuZGFyeXNlbA==::MQ==::RW5hYmxlIHJ4X3N0ZF9iaXRzbGlwYm91bmRhcnlzZWwgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2JpdHNsaXA=::MA==::RW5hYmxlIHJ4X2JpdHNsaXAgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2JpdHJldl9lbmFibGU=::MA==::RW5hYmxlIFRYIGJpdCByZXZlcnNhbA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2J5dGVyZXZfZW5hYmxl::MA==::RW5hYmxlIFRYIGJ5dGUgcmV2ZXJzYWw=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X3BvbGludl9lbmFibGU=::MA==::RW5hYmxlIFRYIHBvbGFyaXR5IGludmVyc2lvbg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG9saW52::MA==::RW5hYmxlIHR4X3BvbGludiBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X2JpdHJldl9lbmFibGU=::MA==::RW5hYmxlIFJYIGJpdCByZXZlcnNhbA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2JpdHJldl9lbmE=::MA==::RW5hYmxlIHJ4X3N0ZF9iaXRyZXZfZW5hIHBvcnQ=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X2J5dGVyZXZfZW5hYmxl::MA==::RW5hYmxlIFJYIGJ5dGUgcmV2ZXJzYWw=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2J5dGVyZXZfZW5h::MA==::RW5hYmxlIHJ4X3N0ZF9ieXRlcmV2X2VuYSBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3BvbGludl9lbmFibGU=::MA==::RW5hYmxlIFJYIHBvbGFyaXR5IGludmVyc2lvbg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG9saW52::MA==::RW5hYmxlIHJ4X3BvbGludiBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3NpZ25hbGRldGVjdA==::MA==::RW5hYmxlIHJ4X3N0ZF9zaWduYWxkZXRlY3QgcG9ydA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfc3c=::MA==::RW5hYmxlIFBDSWUgZHluYW1pYyBkYXRhcmF0ZSBzd2l0Y2ggcG9ydHM=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfaGNsaw==::MA==::RW5hYmxlIFBDSWUgcGlwZV9oY2xrX2luIGFuZCBwaXBlX2hjbGtfb3V0IHBvcnRz" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfZzNfYW5hbG9n::MA==::RW5hYmxlIFBDSWUgR2VuIDMgYW5hbG9nIGNvbnRyb2wgcG9ydHM=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfcnhfZWxlY2lkbGU=::MA==::RW5hYmxlIFBDSWUgZWxlY3RyaWNhbCBpZGxlIGNvbnRyb2wgYW5kIHN0YXR1cyBwb3J0cw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcGlwZV9yeF9wb2xhcml0eQ==::MA==::RW5hYmxlIFBDSWUgcGlwZV9yeF9wb2xhcml0eSBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVfZG9jcw==::MA==::R2VuZXJhdGUgcGFyYW1ldGVyIGRvY3VtZW50YXRpb24gZmlsZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2FkdmFuY2VkX29wdGlvbnM=::MA==::ZW5hYmxlX2FkdmFuY2VkX29wdGlvbnM=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BoeXNpY2FsX2JvbmRpbmdfY2xvY2tz::MA==::ZW5hYmxlX3BoeXNpY2FsX2JvbmRpbmdfY2xvY2tz" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2RlYnVnX29wdGlvbnM=::MA==::ZW5hYmxlX2RlYnVnX29wdGlvbnM=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2FkdmFuY2VkX2F2bW1fb3B0aW9ucw==::MA==::ZW5hYmxlX2FkdmFuY2VkX2F2bW1fb3B0aW9ucw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX29kaV9hY2NlbGVyYXRvcg==::MA==::ZW5hYmxlX29kaV9hY2NlbGVyYXRvcg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9jaGFubmVscw==::MQ==::bF9jaGFubmVscw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "dHhfZW5hYmxl::MQ==::dHhfZW5hYmxl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGF0YXBhdGhfc2VsZWN0::U3RhbmRhcmQ=::ZGF0YXBhdGhfc2VsZWN0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfZW5hYmxl::MQ==::cnhfZW5hYmxl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zcGxpdF9pZmFjZQ==::MA==::bF9zcGxpdF9pZmFjZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9wY3NfcG1hX3dpZHRo::MTA=::bF9wY3NfcG1hX3dpZHRo" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF90eF9wbGRfcGNzX3dpZHRo::MTA=::bF90eF9wbGRfcGNzX3dpZHRo" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9yeF9wbGRfcGNzX3dpZHRo::MTA=::bF9yeF9wbGRfcGNzX3dpZHRo" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9wbGxfc2V0dGluZ3M=::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::bF9wbGxfc2V0dGluZ3M=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9wbGxfc2V0dGluZ3Nfa2V5::MTI1LjAwMDAwMA==::bF9wbGxfc2V0dGluZ3Nfa2V5" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcG1hX2JvbmRpbmc=::MA==::bF9lbmFibGVfcG1hX2JvbmRpbmc=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcmV2ZV9zdXBwb3J0::MA==::bF9lbmFibGVfcmV2ZV9zdXBwb3J0" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3N0ZA==::MQ==::ZW5hYmxlX3N0ZA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfc3RkX3BpcGU=::MA==::bF9lbmFibGVfc3RkX3BpcGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfc3Rk::MQ==::bF9lbmFibGVfdHhfc3Rk" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfc3Rk::MQ==::bF9lbmFibGVfcnhfc3Rk" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfc3RkX2lmYWNl::MQ==::bF9lbmFibGVfdHhfc3RkX2lmYWNl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfc3RkX2lmYWNl::MQ==::bF9lbmFibGVfcnhfc3RkX2lmYWNl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfd29yZF9jb3VudA==::MQ==::bF9zdGRfdHhfd29yZF9jb3VudA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfd29yZF93aWR0aA==::OA==::bF9zdGRfdHhfd29yZF93aWR0aA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfZmllbGRfd2lkdGg=::MTE=::bF9zdGRfdHhfZmllbGRfd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfd29yZF9jb3VudA==::MQ==::bF9zdGRfcnhfd29yZF9jb3VudA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfd29yZF93aWR0aA==::OA==::bF9zdGRfcnhfd29yZF93aWR0aA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfZmllbGRfd2lkdGg=::MTY=::bF9zdGRfcnhfZmllbGRfd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfcGxkX3Bjc193aWR0aA==::MTA=::bF9zdGRfdHhfcGxkX3Bjc193aWR0aA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfcGxkX3Bjc193aWR0aA==::MTA=::bF9zdGRfcnhfcGxkX3Bjc193aWR0aA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfZGF0YV9tYXNrX2NvdW50X211bHRp::MA==::bF9zdGRfZGF0YV9tYXNrX2NvdW50X211bHRp" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VuaA==::MA==::ZW5hYmxlX2VuaA==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfZW5o::MA==::bF9lbmFibGVfdHhfZW5o" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfZW5o::MA==::bF9lbmFibGVfcnhfZW5o" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfZW5oX2lmYWNl::MA==::bF9lbmFibGVfdHhfZW5oX2lmYWNl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfZW5oX2lmYWNl::MA==::bF9lbmFibGVfcnhfZW5oX2lmYWNl" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3Bjc19kaXI=::MA==::ZW5hYmxlX3Bjc19kaXI=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfcGNzX2Rpcg==::MA==::bF9lbmFibGVfdHhfcGNzX2Rpcg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfcGNzX2Rpcg==::MA==::bF9lbmFibGVfcnhfcGNzX2Rpcg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9yY2ZnX2lmYWNlcw==::MQ==::bF9yY2ZnX2lmYWNlcw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9yY2ZnX2FkZHJfYml0cw==::MTA=::bF9yY2ZnX2FkZHJfYml0cw==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cmNmZ19lbmFibGU=::MA==::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YWRtZV9wcm90X21vZGU=::Z2lnZV8xNTg4::YWRtZV9wcm90X21vZGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YWRtZV9kYXRhX3JhdGU=::MTI1MDAwMDAwMA==::YWRtZV9kYXRhX3JhdGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX2VtYmVkZGVkX2RlYnVnX2VuYWJsZQ==::MA==::ZGJnX2VtYmVkZGVkX2RlYnVnX2VuYWJsZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX2NhcGFiaWxpdHlfcmVnX2VuYWJsZQ==::MA==::ZGJnX2NhcGFiaWxpdHlfcmVnX2VuYWJsZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX3VzZXJfaWRlbnRpZmllcg==::MA==::ZGJnX3VzZXJfaWRlbnRpZmllcg==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX3N0YXRfc29mdF9sb2dpY19lbmFibGU=::MA==::ZGJnX3N0YXRfc29mdF9sb2dpY19lbmFibGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX2N0cmxfc29mdF9sb2dpY19lbmFibGU=::MA==::ZGJnX2N0cmxfc29mdF9sb2dpY19lbmFibGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX3ByYnNfc29mdF9sb2dpY19lbmFibGU=::MA==::ZGJnX3ByYnNfc29mdF9sb2dpY19lbmFibGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX29kaV9zb2Z0X2xvZ2ljX2VuYWJsZQ==::MA==::ZGJnX29kaV9zb2Z0X2xvZ2ljX2VuYWJsZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cmNmZ19lbWJfc3RybV9lbmFibGU=::MA==::cmNmZ19lbWJfc3RybV9lbmFibGU=" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9hbmxnX3R4X2VuYWJsZQ==::MA==::bF9hbmxnX3R4X2VuYWJsZQ==" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9hbmxnX3J4X2VuYWJsZQ==::MA==::bF9hbmxnX3J4X2VuYWJsZQ==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_NAME "YWx0ZXJhX3Jlc2V0X2NvbnRyb2xsZXI=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIFJlc2V0IENvbnRyb2xsZXI=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_DESCRIPTION "Rm9yIHN5c3RlbXMgd2l0aCBtdWx0aXBsZSByZXNldCBpbnB1dHMsIHRoZSBNZXJsaW4gUmVzZXQgQ29udHJvbGxlciBPUnMgYWxsIHJlc2V0IGlucHV0cyBhbmQgZ2VuZXJhdGVzIGEgc2luZ2xlIHJlc2V0IG91dHB1dC4=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "TlVNX1JFU0VUX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIGlucHV0cw==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "T1VUUFVUX1JFU0VUX1NZTkNfRURHRVM=::ZGVhc3NlcnQ=::T3V0cHV0IFJlc2V0IFN5bmNocm9ub3VzIEVkZ2Vz" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "U1lOQ19ERVBUSA==::Mg==::U3luY2hyb25pemVyIGRlcHRo" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRVUVTVF9QUkVTRU5U::MA==::UmVzZXQgcmVxdWVzdCBsb2dpYyBlbmFibGU=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX1dBSVRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCB3YWl0IHRpbWU=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "TUlOX1JTVF9BU1NFUlRJT05fVElNRQ==::Mw==::TWluaW11bSByZXNldCBhc3NlcnRpb24gdGltZQ==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX0VBUkxZX0RTUlRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCBkZWFzc2VydCB0aW1pbmc=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4w::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjA=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4x::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4y::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjI=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4z::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjM=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU40::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjQ=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU41::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjU=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU42::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjY=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU43::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjc=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU44::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjg=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU45::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjk=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEw" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEx" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMg==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEy" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMw==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEz" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE0" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE1" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU5QVVQ=::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcmVzZXRfaW5wdXRz" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "QURBUFRfUkVTRVRfUkVRVUVTVA==::MA==::T25seSBhZGFwdCBvbmx5IHJlc2V0IHJlcXVlc3Q=" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfbmZfcGh5aXBfdGVybWluYXRvcg==" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfbmZfcGh5aXBfdGVybWluYXRvcg==" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NHTUlJ::dHJ1ZQ==::RU5BQkxFX1NHTUlJ" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1RJTUVTVEFNUElORw==::ZmFsc2U=::RU5BQkxFX1RJTUVTVEFNUElORw==" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_PARAMETER "VU5VU0VEX1JYX1BBUkFMTEVMX0RBVEFfV0lEVEg=::MTE0::VU5VU0VEX1JYX1BBUkFMTEVMX0RBVEFfV0lEVEg=" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfMTI1TV9DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfMTI1TV9DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4=" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfMTI1TV9SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4=" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfNTBNX0NMT0NLX1JBVEU=::LTE=::QXV0byBDTE9DS19SQVRF" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfNTBNX0NMT0NLX0RPTUFJTg==::LTE=::QXV0byBDTE9DS19ET01BSU4=" +set_global_assignment -entity "arria10_hps" -library "arria10_hps" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfNTBNX1JFU0VUX0RPTUFJTg==::LTE=::QXV0byBSRVNFVF9ET01BSU4=" set_instance_assignment -name HPS_LOCATION PIN_H18 -entity arria10_hps_altera_arria10_hps_221_ejcddfq -to hps_io|border|hps_io_phery_emac0_TX_CLK[0] set_instance_assignment -name HPS_LOCATION PIN_E20 -entity arria10_hps_altera_arria10_hps_221_ejcddfq -to hps_io|border|hps_io_phery_emac0_TXD0[0] set_instance_assignment -name HPS_LOCATION PIN_F20 -entity arria10_hps_altera_arria10_hps_221_ejcddfq -to hps_io|border|hps_io_phery_emac0_TXD1[0] @@ -631,6 +295,21 @@ set_global_assignment -entity "arria10_hps_altera_arria10_hps_221_ejcddfq" -libr set_global_assignment -entity "arria10_hps_altera_arria10_hps_221_ejcddfq" -library "arria10_hps_altera_arria10_hps_221" -name IP_COMPONENT_PARAMETER "REJfaWZhY2VfcG9ydHM=::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::REJfaWZhY2VfcG9ydHM=" set_global_assignment -entity "arria10_hps_altera_arria10_hps_221_ejcddfq" -library "arria10_hps_altera_arria10_hps_221" -name IP_COMPONENT_PARAMETER "REJfcG9ydF9waW5z::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::REJfcG9ydF9waW5z" set_global_assignment -entity "arria10_hps_altera_arria10_hps_221_ejcddfq" -library "arria10_hps_altera_arria10_hps_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Mg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" +set_instance_assignment -name HPS_LOCATION HPSINTERFACECLOCKSRESETS_X78_Y168_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to clocks_resets +set_instance_assignment -name HPS_LOCATION HPSINTERFACEDBGAPB_X78_Y170_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to debug_apb +set_instance_assignment -name HPS_LOCATION HPSINTERFACESTMEVENT_X78_Y204_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to stm_event +set_instance_assignment -name HPS_LOCATION HPSINTERFACEBOOTFROMFPGA_X79_Y172_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to boot_from_fpga +set_instance_assignment -name HPS_LOCATION HPSINTERFACEDDR_X78_Y171_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to emif_interface +set_instance_assignment -name HPS_LOCATION HPSINTERFACEINTERRUPTS_X78_Y180_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to interrupts +set_instance_assignment -name MESSAGE_DISABLE 10230 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX2FycmlhMTBfaW50ZXJmYWNlX2dlbmVyYXRvcl8xNDBfbTZ1eHZ4YQ==" +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2FycmlhMTBfaW50ZXJmYWNlX2dlbmVyYXRvcg==" +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_INTERNAL "On" +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_VERSION "MTQuMA==" +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "aW50ZXJmYWNlRGVmaW5pdGlvbg==::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::aW50ZXJmYWNlRGVmaW5pdGlvbg==" +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "aWdub3JlU2ltdWxhdGlvbg==::ZmFsc2U=::aWdub3JlU2ltdWxhdGlvbg==" +set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::MTBBUzA2Nk4zRjQwSTJMRw==::ZGV2aWNl" set_global_assignment -entity "arria10_hps_altera_arria10_hps_io_221_7htij7i" -library "arria10_hps_altera_arria10_hps_io_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX2FycmlhMTBfaHBzX2lvXzIyMV83aHRpajdp" set_global_assignment -entity "arria10_hps_altera_arria10_hps_io_221_7htij7i" -library "arria10_hps_altera_arria10_hps_io_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2FycmlhMTBfaHBzX2lv" set_global_assignment -entity "arria10_hps_altera_arria10_hps_io_221_7htij7i" -library "arria10_hps_altera_arria10_hps_io_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -658,21 +337,38 @@ set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_14 set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_26qouiq" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "aWdub3JlU2ltdWxhdGlvbg==::dHJ1ZQ==::aWdub3JlU2ltdWxhdGlvbg==" set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_26qouiq" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "aHBzX3BhcmFtZXRlcl9tYXA=::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::aHBzX3BhcmFtZXRlcl9tYXA=" set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_26qouiq" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::MTBBUzA2Nk4zRjQwSTJMRw==::ZGV2aWNl" -set_instance_assignment -name HPS_LOCATION HPSINTERFACECLOCKSRESETS_X78_Y168_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to clocks_resets -set_instance_assignment -name HPS_LOCATION HPSINTERFACEDBGAPB_X78_Y170_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to debug_apb -set_instance_assignment -name HPS_LOCATION HPSINTERFACESTMEVENT_X78_Y204_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to stm_event -set_instance_assignment -name HPS_LOCATION HPSINTERFACEBOOTFROMFPGA_X79_Y172_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to boot_from_fpga -set_instance_assignment -name HPS_LOCATION HPSINTERFACEDDR_X78_Y171_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to emif_interface -set_instance_assignment -name HPS_LOCATION HPSINTERFACEINTERRUPTS_X78_Y180_N96 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -to interrupts -set_instance_assignment -name MESSAGE_DISABLE 10230 -entity arria10_hps_altera_arria10_interface_generator_140_m6uxvxa -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX2FycmlhMTBfaW50ZXJmYWNlX2dlbmVyYXRvcl8xNDBfbTZ1eHZ4YQ==" -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2FycmlhMTBfaW50ZXJmYWNlX2dlbmVyYXRvcg==" -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_VERSION "MTQuMA==" -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "aW50ZXJmYWNlRGVmaW5pdGlvbg==::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::aW50ZXJmYWNlRGVmaW5pdGlvbg==" -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "aWdub3JlU2ltdWxhdGlvbg==::ZmFsc2U=::aWdub3JlU2ltdWxhdGlvbg==" -set_global_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" -library "arria10_hps_altera_arria10_interface_generator_140" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::MTBBUzA2Nk4zRjQwSTJMRw==::ZGV2aWNl" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_NAME "YWx0ZXJhX3Jlc2V0X2NvbnRyb2xsZXI=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIFJlc2V0IENvbnRyb2xsZXI=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_DESCRIPTION "Rm9yIHN5c3RlbXMgd2l0aCBtdWx0aXBsZSByZXNldCBpbnB1dHMsIHRoZSBNZXJsaW4gUmVzZXQgQ29udHJvbGxlciBPUnMgYWxsIHJlc2V0IGlucHV0cyBhbmQgZ2VuZXJhdGVzIGEgc2luZ2xlIHJlc2V0IG91dHB1dC4=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "TlVNX1JFU0VUX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIGlucHV0cw==" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "T1VUUFVUX1JFU0VUX1NZTkNfRURHRVM=::ZGVhc3NlcnQ=::T3V0cHV0IFJlc2V0IFN5bmNocm9ub3VzIEVkZ2Vz" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "U1lOQ19ERVBUSA==::Mg==::U3luY2hyb25pemVyIGRlcHRo" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRVUVTVF9QUkVTRU5U::MA==::UmVzZXQgcmVxdWVzdCBsb2dpYyBlbmFibGU=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX1dBSVRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCB3YWl0IHRpbWU=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "TUlOX1JTVF9BU1NFUlRJT05fVElNRQ==::Mw==::TWluaW11bSByZXNldCBhc3NlcnRpb24gdGltZQ==" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX0VBUkxZX0RTUlRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCBkZWFzc2VydCB0aW1pbmc=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4w::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjA=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4x::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4y::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjI=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4z::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjM=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU40::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjQ=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU41::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjU=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU42::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjY=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU43::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjc=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU44::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjg=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU45::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjk=" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEw" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEx" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMg==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEy" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMw==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEz" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE0" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE1" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU5QVVQ=::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcmVzZXRfaW5wdXRz" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "QURBUFRfUkVTRVRfUkVRVUVTVA==::MA==::T25seSBhZGFwdCBvbmx5IHJlc2V0IHJlcXVlc3Q=" set_global_assignment -entity "arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga" -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX3hjdnJfYXR4X3BsbF9hMTBfMjIxX3N5ajVzZ2E=" set_global_assignment -entity "arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga" -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name IP_COMPONENT_DISPLAY_NAME "VHJhbnNjZWl2ZXIgQVRYIFBMTCBJbnRlbCBBcnJpYSAxMCBGUEdBIElQ" set_global_assignment -entity "arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga" -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -872,86 +568,20 @@ set_global_assignment -entity "arria10_hps_altera_mm_interconnect_221_fg5byai" - set_global_assignment -entity "arria10_hps_altera_mm_interconnect_221_fg5byai" -library "arria10_hps_altera_mm_interconnect_221" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0" set_global_assignment -entity "arria10_hps_altera_mm_interconnect_221_fg5byai" -library "arria10_hps_altera_mm_interconnect_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "arria10_hps_altera_mm_interconnect_221_fg5byai" -library "arria10_hps_altera_mm_interconnect_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBUzA2Nk4zRjQwSTJMRw==::QXV0byBERVZJQ0U=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9kZW11bHRpcGxleGVyXzIyMV83MnloYWxh" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::UGFja2V0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9tdWx0aXBsZXhlcl8yMjFfaHVqMmtpeQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg==" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::MTA4::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MQ==::QXJiaXRyYXRpb24gc2hhcmVz" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV9hZ2VudA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIEFnZW50" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjb21tYW5kIHBhY2tldHMgYW5kIGlzc3VlcyB0aGUgcmVzdWx0aW5nIHRyYW5zYWN0aW9ucyB0byB0aGUgQXZhbG9uIGludGVyZmFjZS4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZXhwbGFuYXRpb25zIG9mIHRoZSBidXJzdGluZyBwcm9wZXJ0aWVzLg==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTIw::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::MTE4::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::MTE3::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::MTE2::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::OTI=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::OTA=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzI=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::MTAx::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODk=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::ODI=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::ODE=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::Njg=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njk=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::MTAz::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::MTAz::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::Mw==::YnVyc3Rjb3VudCB3aWR0aA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwODoxMDUpIGRlc3RfaWQoMTA0KSBzcmNfaWQoMTAzKSBxb3MoMTAyKSBiZWdpbl9idXJzdCgxMDEpIGRhdGFfc2lkZWJhbmQoMTAwKSBhZGRyX3NpZGViYW5kKDk5Ojk1KSBidXJzdF90eXBlKDk0OjkzKSBidXJzdF9zaXplKDkyOjkwKSBidXJzdHdyYXAoODk6ODIpIGJ5dGVfY250KDgxOjc0KSB0cmFuc19leGNsdXNpdmUoNzMpIHRyYW5zX2xvY2soNzIpIHRyYW5zX3JlYWQoNzEpIHRyYW5zX3dyaXRlKDcwKSB0cmFuc19wb3N0ZWQoNjkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2OCkgYWRkcig2NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fQ01E::MQ==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHRyYW5zYWN0aW9ucw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9GSUZPX09WRVJGTE9X::MQ==::UHJldmVudCBGSUZPIG92ZXJmbG93" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEVfQ05U::NA==::TWF4aW11bSBieXRlLWNvdW50IHZhbHVl" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUV1JBUA==::MjU1::TWF4aW11bSBidXJzdHdyYXAgdmFsdWU=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "SUQ=::MA==::U2xhdmUgSUQ=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "RUNDX0VOQUJMRQ==::MA==::RUNDX0VOQUJMRQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9kZW11bHRpcGxleGVyXzIyMV95eDNqd3N5" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::UGFja2V0IGRhdGEgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF" set_global_assignment -entity "arria10_hps_altera_avalon_st_adapter_221_36tuu3a" -library "arria10_hps_altera_avalon_st_adapter_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX2F2YWxvbl9zdF9hZGFwdGVyXzIyMV8zNnR1dTNh" set_global_assignment -entity "arria10_hps_altera_avalon_st_adapter_221_36tuu3a" -library "arria10_hps_altera_avalon_st_adapter_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI=" set_global_assignment -entity "arria10_hps_altera_avalon_st_adapter_221_36tuu3a" -library "arria10_hps_altera_avalon_st_adapter_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -997,122 +627,6 @@ set_global_assignment -entity "arria10_hps_error_adapter_221_ljusu3a" -library " set_global_assignment -entity "arria10_hps_error_adapter_221_ljusu3a" -library "arria10_hps_error_adapter_221" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ==" set_global_assignment -entity "arria10_hps_error_adapter_221_ljusu3a" -library "arria10_hps_error_adapter_221" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ==" set_global_assignment -entity "arria10_hps_error_adapter_221_ljusu3a" -library "arria10_hps_error_adapter_221" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9kZW11bHRpcGxleGVyXzIyMV95eDNqd3N5" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::UGFja2V0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zY19maWZv" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFNpbmdsZSBDbG9jayBGSUZP" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "U1lNQk9MU19QRVJfQkVBVA==::MQ==::U3ltYm9scyBwZXIgYmVhdA==" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::MTIy::Qml0cyBwZXIgc3ltYm9s" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::Mg==::RklGTyBkZXB0aA==" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MA==::Q2hhbm5lbCB3aWR0aA==" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MA==::RXJyb3Igd2lkdGg=" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX1BBQ0tFVFM=::MQ==::VXNlIHBhY2tldHM=" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX0ZJTExfTEVWRUw=::MA==::VXNlIGZpbGwgbGV2ZWw=" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RU1QVFlfTEFURU5DWQ==::MQ==::TGF0ZW5jeQ==" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX01FTU9SWV9CTE9DS1M=::MA==::VXNlIG1lbW9yeSBibG9ja3M=" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX1NUT1JFX0ZPUldBUkQ=::MA==::VXNlIHN0b3JlIGFuZCBmb3J3YXJk" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9GVUxMX0lG::MA==::VXNlIGFsbW9zdCBmdWxsIHN0YXR1cw==" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9FTVBUWV9JRg==::MA==::VXNlIGFsbW9zdCBlbXB0eSBzdGF0dXM=" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUExJQ0lUX01BWENIQU5ORUw=::ZmFsc2U=::RW5hYmxlIGV4cGxpY2l0IG1heENoYW5uZWw=" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RVhQTElDSVRfTUFYQ0hBTk5FTA==::MA==::RXhwbGljaXQgbWF4Q2hhbm5lbA==" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9tdWx0aXBsZXhlcl8yMjFfdnp1Y3F5eQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg==" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::MTA4::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9yb3V0ZXJfMjIxX3hlMjQzc2k=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MCww::RGVzdGluYXRpb24gSUQ=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDEsMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::d3JpdGUscmVhZA==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgwLDB4MA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMToweDA6MHgwOndyaXRlOjE6MDowOjEsMDoxMDoweDA6MHgwOnJlYWQ6MTowOjA6MQ==::U0xBVkVTX0lORk8=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::LTE=::RGVmYXVsdCBjaGFubmVs" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::MA==::RGVmYXVsdCB3ciBjaGFubmVs" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::MQ==::RGVmYXVsdCByZCBjaGFubmVs" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwODoxMDUpIGRlc3RfaWQoMTA0KSBzcmNfaWQoMTAzKSBxb3MoMTAyKSBiZWdpbl9idXJzdCgxMDEpIGRhdGFfc2lkZWJhbmQoMTAwKSBhZGRyX3NpZGViYW5kKDk5Ojk1KSBidXJzdF90eXBlKDk0OjkzKSBidXJzdF9zaXplKDkyOjkwKSBidXJzdHdyYXAoODk6ODIpIGJ5dGVfY250KDgxOjc0KSB0cmFuc19leGNsdXNpdmUoNzMpIHRyYW5zX2xvY2soNzIpIHRyYW5zX3JlYWQoNzEpIHRyYW5zX3dyaXRlKDcwKSB0cmFuc19wb3N0ZWQoNjkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2OCkgYWRkcig2NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9yb3V0ZXJfMjIxX3FmanMzNWE=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MA==::RGVzdGluYXRpb24gSUQ=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg0MDA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::MTAz::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::NzI=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTQ3::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTQ1::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTQw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTQw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::MTA2::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::MTA3::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDQwMDpib3RoOjE6MDowOjE=::U0xBVkVTX0lORk8=" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9heGlfbWFzdGVyX25p" set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_COMPONENT_DISPLAY_NAME "QVhJIE1hc3RlciBOZXR3b3JrIEludGVyZmFjZQ==" set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -1177,6 +691,136 @@ set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hp set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_COMPONENT_PARAMETER "SUQ=::MA==::TWFzdGVyIElE" set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQ=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9tdWx0aXBsZXhlcl8yMjFfaHVqMmtpeQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg==" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::MTA4::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MQ==::QXJiaXRyYXRpb24gc2hhcmVz" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9tdWx0aXBsZXhlcl8yMjFfdnp1Y3F5eQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg==" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::MTA4::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV90cmFuc2xhdG9y" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIFRyYW5zbGF0b3I=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBzbGF2ZSBpbnRlcmZhY2UgdG8gYSBzaW1wbGlmaWVkIHJlcHJlc2VudGF0aW9uIHRoYXQgdGhlIFFzeXMgbmV0d29yayB1c2VzLiBSZWZlciB0byB0aGUgQXZhbG9uIEludGVyZmFjZSBTcGVjaWZpY2F0aW9ucyAoaHR0cDovL3d3dy5hbHRlcmEuY29tL2xpdGVyYXR1cmUvbWFudWFsL21ubF9hdmFsb25fc3BlYy5wZGYpIGZvciBkZWZpbml0aW9ucyBvZiB0aGUgQXZhbG9uLU1NIHNpZ25hbHMgYW5kIGV4cGxhbmF0aW9ucyBvZiB0aGUgYnVyc3RpbmcgcHJvcGVydGllcyBhbmQgYWRkcmVzcyBhbGlnbm1lbnQu" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::OA==::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0RBVEFfVw==::MzI=::TmV0d29yayBEYXRhIHdpZHRo" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::NA==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0JZVEVFTkFCTEVfVw==::NA==::TmV0d29yayBieXRlZW5hYmxlIHdpZHRo" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MzI=::TmV0d29yayBhZGRyZXNzIHdpZHRo" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MQ==::d3JpdGVXYWl0VGltZQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfVElNSU5HX1VOSVRT::MQ==::VGltaW5nIHVuaXRz" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MQ==::VXNlIHdyaXRlZGF0YQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MQ==::VXNlIHJlYWQ=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MQ==::VXNlIHdyaXRl" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MA==::VXNlIGJ5dGVlbmFibGU=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MA==::VXNlIGNoaXBzZWxlY3Q=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MA==::VXNlIHJlYWRkYXRhdmFsaWQ=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MQ==::VXNlIHdhaXRyZXF1ZXN0" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFQllURUVOQUJMRQ==::MA==::VXNlIHdyaXRlYnl0ZWVuYWJsZQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0FWX0NMS0VO::MA==::VXNlIGNvbXBvbmVudCBjbGtlbg==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1VBVl9DTEtFTg==::MA==::VXNlIG5ldHdvcmsgY2xrZW4=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX09VVFBVVEVOQUJMRQ==::MA==::VXNlIG91dHB1dGVuYWJsZQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::NA==::U3ltYm9scyBwZXIgd29yZA==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MA==::QWRkcmVzcyBzeW1ib2xz" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVRVUlSRV9VTkFMSUdORURfQUREUkVTU0VT::MA==::VW5hbGlnbmVkIGFkZHJlc3Nlcw==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::MQ==::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfV1JJVEVfVFJBTlNBQ1RJT05T::MA==::bWF4UGVuZGluZ1dyaXRlVHJhbnNhY3Rpb25z" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "Q0hJUFNFTEVDVF9USFJPVUdIX1JFQURMQVRFTkNZ::MA==::Q2hpcHNlbGVjdCB0aHJvdWdoIHJlYWQgbGF0ZW5jeQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfUkFURQ==::NTAwMDAwMDA=::Q0xPQ0tfUkFURQ==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlUX0NZQ0xFUw==::MQ==::QVZfUkVBRF9XQUlUX0NZQ0xFUw==" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVF9DWUNMRVM=::MQ==::QVZfV1JJVEVfV0FJVF9DWUNMRVM=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVF9DWUNMRVM=::MA==::QVZfU0VUVVBfV0FJVF9DWUNMRVM=" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xEX0NZQ0xFUw==::MA==::QVZfREFUQV9IT0xEX0NZQ0xFUw==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9yb3V0ZXJfMjIxX3FmanMzNWE=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MA==::RGVzdGluYXRpb24gSUQ=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg0MDA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::MTAz::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::NzI=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTQ3::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTQ1::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTQw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTQw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::MTA2::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::MTA3::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDQwMDpib3RoOjE6MDowOjE=::U0xBVkVTX0lORk8=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl93aWR0aF9hZGFwdGVy" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBXaWR0aCBBZGFwdGVy" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -1234,6 +878,56 @@ set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hp set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_COMPONENT_PARAMETER "T1VUX01FUkxJTl9QQUNLRVRfRk9STUFU::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvciAtIG91dHB1dA==" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9TSVpFX1c=::Mw==::Q29tbWFuZC1zaXplIGlucHV0IHdpZHRo" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FERFJFU1NfQUxJR05NRU5U::MQ==::QWRkcmVzcyBhbGlnbm1lbnQ=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV9hZ2VudA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIEFnZW50" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjb21tYW5kIHBhY2tldHMgYW5kIGlzc3VlcyB0aGUgcmVzdWx0aW5nIHRyYW5zYWN0aW9ucyB0byB0aGUgQXZhbG9uIGludGVyZmFjZS4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZXhwbGFuYXRpb25zIG9mIHRoZSBidXJzdGluZyBwcm9wZXJ0aWVzLg==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTIw::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::MTE4::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::MTE3::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::MTE2::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::OTI=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::OTA=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzI=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::MTAx::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODk=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::ODI=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::ODE=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::Njg=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njk=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::MTAz::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::MTAz::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::Mw==::YnVyc3Rjb3VudCB3aWR0aA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwODoxMDUpIGRlc3RfaWQoMTA0KSBzcmNfaWQoMTAzKSBxb3MoMTAyKSBiZWdpbl9idXJzdCgxMDEpIGRhdGFfc2lkZWJhbmQoMTAwKSBhZGRyX3NpZGViYW5kKDk5Ojk1KSBidXJzdF90eXBlKDk0OjkzKSBidXJzdF9zaXplKDkyOjkwKSBidXJzdHdyYXAoODk6ODIpIGJ5dGVfY250KDgxOjc0KSB0cmFuc19leGNsdXNpdmUoNzMpIHRyYW5zX2xvY2soNzIpIHRyYW5zX3JlYWQoNzEpIHRyYW5zX3dyaXRlKDcwKSB0cmFuc19wb3N0ZWQoNjkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2OCkgYWRkcig2NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fQ01E::MQ==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHRyYW5zYWN0aW9ucw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9GSUZPX09WRVJGTE9X::MQ==::UHJldmVudCBGSUZPIG92ZXJmbG93" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEVfQ05U::NA==::TWF4aW11bSBieXRlLWNvdW50IHZhbHVl" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUV1JBUA==::MjU1::TWF4aW11bSBidXJzdHdyYXAgdmFsdWU=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "SUQ=::MA==::U2xhdmUgSUQ=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_COMPONENT_PARAMETER "RUNDX0VOQUJMRQ==::MA==::RUNDX0VOQUJMRQ==" set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zdF9oYW5kc2hha2VfY2xvY2tfY3Jvc3Nlcg==" set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEhhbmRzaGFrZSBDbG9jayBDcm9zc2Vy" set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -1294,108 +988,467 @@ set_global_assignment -entity "altera_merlin_burst_adapter" -library "arria10_hp set_global_assignment -entity "altera_merlin_burst_adapter" -library "arria10_hps_altera_merlin_burst_adapter_221" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX01BU0s=::MA==::QnVyc3R3cmFwLWNvbnN0YW50IG1hc2s=" set_global_assignment -entity "altera_merlin_burst_adapter" -library "arria10_hps_altera_merlin_burst_adapter_221" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX1ZBTFVF::MA==::QnVyc3R3cmFwLWNvbnN0YW50IHZhbHVl" set_global_assignment -entity "altera_merlin_burst_adapter" -library "arria10_hps_altera_merlin_burst_adapter_221" -name IP_COMPONENT_PARAMETER "QURBUFRFUl9WRVJTSU9O::MTMuMQ==::U2VsZWN0IGJ1cnN0IGFkYXB0ZXIgdmVyc2lvbg==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV90cmFuc2xhdG9y" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIFRyYW5zbGF0b3I=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBzbGF2ZSBpbnRlcmZhY2UgdG8gYSBzaW1wbGlmaWVkIHJlcHJlc2VudGF0aW9uIHRoYXQgdGhlIFFzeXMgbmV0d29yayB1c2VzLiBSZWZlciB0byB0aGUgQXZhbG9uIEludGVyZmFjZSBTcGVjaWZpY2F0aW9ucyAoaHR0cDovL3d3dy5hbHRlcmEuY29tL2xpdGVyYXR1cmUvbWFudWFsL21ubF9hdmFsb25fc3BlYy5wZGYpIGZvciBkZWZpbml0aW9ucyBvZiB0aGUgQXZhbG9uLU1NIHNpZ25hbHMgYW5kIGV4cGxhbmF0aW9ucyBvZiB0aGUgYnVyc3RpbmcgcHJvcGVydGllcyBhbmQgYWRkcmVzcyBhbGlnbm1lbnQu" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::OA==::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0RBVEFfVw==::MzI=::TmV0d29yayBEYXRhIHdpZHRo" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::NA==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0JZVEVFTkFCTEVfVw==::NA==::TmV0d29yayBieXRlZW5hYmxlIHdpZHRo" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MzI=::TmV0d29yayBhZGRyZXNzIHdpZHRo" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MQ==::d3JpdGVXYWl0VGltZQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfVElNSU5HX1VOSVRT::MQ==::VGltaW5nIHVuaXRz" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MQ==::VXNlIHdyaXRlZGF0YQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MQ==::VXNlIHJlYWQ=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MQ==::VXNlIHdyaXRl" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MA==::VXNlIGJ5dGVlbmFibGU=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MA==::VXNlIGNoaXBzZWxlY3Q=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MA==::VXNlIHJlYWRkYXRhdmFsaWQ=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MQ==::VXNlIHdhaXRyZXF1ZXN0" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFQllURUVOQUJMRQ==::MA==::VXNlIHdyaXRlYnl0ZWVuYWJsZQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0FWX0NMS0VO::MA==::VXNlIGNvbXBvbmVudCBjbGtlbg==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1VBVl9DTEtFTg==::MA==::VXNlIG5ldHdvcmsgY2xrZW4=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX09VVFBVVEVOQUJMRQ==::MA==::VXNlIG91dHB1dGVuYWJsZQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::NA==::U3ltYm9scyBwZXIgd29yZA==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MA==::QWRkcmVzcyBzeW1ib2xz" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVRVUlSRV9VTkFMSUdORURfQUREUkVTU0VT::MA==::VW5hbGlnbmVkIGFkZHJlc3Nlcw==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::MQ==::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfV1JJVEVfVFJBTlNBQ1RJT05T::MA==::bWF4UGVuZGluZ1dyaXRlVHJhbnNhY3Rpb25z" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "Q0hJUFNFTEVDVF9USFJPVUdIX1JFQURMQVRFTkNZ::MA==::Q2hpcHNlbGVjdCB0aHJvdWdoIHJlYWQgbGF0ZW5jeQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfUkFURQ==::NTAwMDAwMDA=::Q0xPQ0tfUkFURQ==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlUX0NZQ0xFUw==::MQ==::QVZfUkVBRF9XQUlUX0NZQ0xFUw==" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVF9DWUNMRVM=::MQ==::QVZfV1JJVEVfV0FJVF9DWUNMRVM=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVF9DWUNMRVM=::MA==::QVZfU0VUVVBfV0FJVF9DWUNMRVM=" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xEX0NZQ0xFUw==::MA==::QVZfREFUQV9IT0xEX0NZQ0xFUw==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_NAME "YWx0ZXJhX3Jlc2V0X2NvbnRyb2xsZXI=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIFJlc2V0IENvbnRyb2xsZXI=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_VERSION "MjIuMQ==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_DESCRIPTION "Rm9yIHN5c3RlbXMgd2l0aCBtdWx0aXBsZSByZXNldCBpbnB1dHMsIHRoZSBNZXJsaW4gUmVzZXQgQ29udHJvbGxlciBPUnMgYWxsIHJlc2V0IGlucHV0cyBhbmQgZ2VuZXJhdGVzIGEgc2luZ2xlIHJlc2V0IG91dHB1dC4=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "TlVNX1JFU0VUX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIGlucHV0cw==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "T1VUUFVUX1JFU0VUX1NZTkNfRURHRVM=::ZGVhc3NlcnQ=::T3V0cHV0IFJlc2V0IFN5bmNocm9ub3VzIEVkZ2Vz" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "U1lOQ19ERVBUSA==::Mg==::U3luY2hyb25pemVyIGRlcHRo" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRVUVTVF9QUkVTRU5U::MA==::UmVzZXQgcmVxdWVzdCBsb2dpYyBlbmFibGU=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX1dBSVRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCB3YWl0IHRpbWU=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "TUlOX1JTVF9BU1NFUlRJT05fVElNRQ==::Mw==::TWluaW11bSByZXNldCBhc3NlcnRpb24gdGltZQ==" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX0VBUkxZX0RTUlRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCBkZWFzc2VydCB0aW1pbmc=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4w::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjA=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4x::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4y::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjI=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4z::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjM=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU40::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjQ=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU41::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjU=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU42::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjY=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU43::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjc=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU44::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjg=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU45::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjk=" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEw" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEx" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMg==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEy" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMw==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEz" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE0" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE1" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU5QVVQ=::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcmVzZXRfaW5wdXRz" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_COMPONENT_PARAMETER "QURBUFRfUkVTRVRfUkVRVUVTVA==::MA==::T25seSBhZGFwdCBvbmx5IHJlc2V0IHJlcXVlc3Q=" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zY19maWZv" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFNpbmdsZSBDbG9jayBGSUZP" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "U1lNQk9MU19QRVJfQkVBVA==::MQ==::U3ltYm9scyBwZXIgYmVhdA==" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::MTIy::Qml0cyBwZXIgc3ltYm9s" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::Mg==::RklGTyBkZXB0aA==" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MA==::Q2hhbm5lbCB3aWR0aA==" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MA==::RXJyb3Igd2lkdGg=" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX1BBQ0tFVFM=::MQ==::VXNlIHBhY2tldHM=" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX0ZJTExfTEVWRUw=::MA==::VXNlIGZpbGwgbGV2ZWw=" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RU1QVFlfTEFURU5DWQ==::MQ==::TGF0ZW5jeQ==" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX01FTU9SWV9CTE9DS1M=::MA==::VXNlIG1lbW9yeSBibG9ja3M=" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX1NUT1JFX0ZPUldBUkQ=::MA==::VXNlIHN0b3JlIGFuZCBmb3J3YXJk" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9GVUxMX0lG::MA==::VXNlIGFsbW9zdCBmdWxsIHN0YXR1cw==" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9FTVBUWV9JRg==::MA==::VXNlIGFsbW9zdCBlbXB0eSBzdGF0dXM=" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUExJQ0lUX01BWENIQU5ORUw=::ZmFsc2U=::RW5hYmxlIGV4cGxpY2l0IG1heENoYW5uZWw=" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_COMPONENT_PARAMETER "RVhQTElDSVRfTUFYQ0hBTk5FTA==::MA==::RXhwbGljaXQgbWF4Q2hhbm5lbA==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9yb3V0ZXJfMjIxX3hlMjQzc2k=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MCww::RGVzdGluYXRpb24gSUQ=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDEsMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::d3JpdGUscmVhZA==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgwLDB4MA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::Njc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NzA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NzE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMToweDA6MHgwOndyaXRlOjE6MDowOjEsMDoxMDoweDA6MHgwOnJlYWQ6MTowOjA6MQ==::U0xBVkVTX0lORk8=" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::LTE=::RGVmYXVsdCBjaGFubmVs" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::MA==::RGVmYXVsdCB3ciBjaGFubmVs" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::MQ==::RGVmYXVsdCByZCBjaGFubmVs" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwODoxMDUpIGRlc3RfaWQoMTA0KSBzcmNfaWQoMTAzKSBxb3MoMTAyKSBiZWdpbl9idXJzdCgxMDEpIGRhdGFfc2lkZWJhbmQoMTAwKSBhZGRyX3NpZGViYW5kKDk5Ojk1KSBidXJzdF90eXBlKDk0OjkzKSBidXJzdF9zaXplKDkyOjkwKSBidXJzdHdyYXAoODk6ODIpIGJ5dGVfY250KDgxOjc0KSB0cmFuc19leGNsdXNpdmUoNzMpIHRyYW5zX2xvY2soNzIpIHRyYW5zX3JlYWQoNzEpIHRyYW5zX3dyaXRlKDcwKSB0cmFuc19wb3N0ZWQoNjkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2OCkgYWRkcig2NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX21lcmxpbl9kZW11bHRpcGxleGVyXzIyMV83MnloYWxh" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTU3::UGFja2V0IGRhdGEgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Mg==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTU2OjE1NCkgcmVzcG9uc2Vfc3RhdHVzKDE1MzoxNTIpIGNhY2hlKDE1MToxNDgpIHByb3RlY3Rpb24oMTQ3OjE0NSkgdGhyZWFkX2lkKDE0NDoxNDEpIGRlc3RfaWQoMTQwKSBzcmNfaWQoMTM5KSBxb3MoMTM4KSBiZWdpbl9idXJzdCgxMzcpIGRhdGFfc2lkZWJhbmQoMTM2KSBhZGRyX3NpZGViYW5kKDEzNToxMzEpIGJ1cnN0X3R5cGUoMTMwOjEyOSkgYnVyc3Rfc2l6ZSgxMjg6MTI2KSBidXJzdHdyYXAoMTI1OjExOCkgYnl0ZV9jbnQoMTE3OjExMCkgdHJhbnNfZXhjbHVzaXZlKDEwOSkgdHJhbnNfbG9jaygxMDgpIHRyYW5zX3JlYWQoMTA3KSB0cmFuc193cml0ZSgxMDYpIHRyYW5zX3Bvc3RlZCgxMDUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCgxMDQpIGFkZHIoMTAzOjcyKSBieXRlZW4oNzE6NjQpIGRhdGEoNjM6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::QXJyaWEgMTA=::QXV0byBERVZJQ0VfRkFNSUxZ" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX2V0aF90c2VfMjIxXzJ0eGhoYXE=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_DISPLAY_NAME "VHJpcGxlLVNwZWVkIEV0aGVybmV0IEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_DESCRIPTION "VHJpcGxlIFNwZWVkIEV0aGVybmV0IEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::QXJyaWEgMTA=::RGV2aWNlIEZhbWlseQ==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BhZGRpbmc=::dHJ1ZQ==::ZW5hYmxlX3BhZGRpbmc=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2xndGhfY2hlY2s=::dHJ1ZQ==::ZW5hYmxlX2xndGhfY2hlY2s=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "Z2JpdF9vbmx5::dHJ1ZQ==::Z2JpdF9vbmx5" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "bWJpdF9vbmx5::dHJ1ZQ==::bWJpdF9vbmx5" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "cmVkdWNlZF9jb250cm9s::ZmFsc2U=::cmVkdWNlZF9jb250cm9s" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "Y29yZV92ZXJzaW9u::NTYzMw==::Y29yZV92ZXJzaW9u" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZGV2X3ZlcnNpb24=::NTYzMw==::ZGV2X3ZlcnNpb24=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZWdfZmlmbw==::MjA0OA==::ZWdfZmlmbw==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aW5nX2ZpZm8=::MjA0OA==::aW5nX2ZpZm8=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "cmVkdWNlZF9pbnRlcmZhY2VfZW5h::ZmFsc2U=::cmVkdWNlZF9pbnRlcmZhY2VfZW5h" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "c3luY2hyb25pemVyX2RlcHRo::Mw==::c3luY2hyb25pemVyX2RlcHRo" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5::QVJSSUExMA==::ZGV2aWNlRmFtaWx5" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aXNVc2VNQUM=::dHJ1ZQ==::aXNVc2VNQUM=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aXNVc2VQQ1M=::dHJ1ZQ==::aXNVc2VQQ1M=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2Nsa19zaGFyaW5n::ZmFsc2U=::ZW5hYmxlX2Nsa19zaGFyaW5n" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "Y29yZV92YXJpYXRpb24=::TUFDX1BDUw==::Q29yZSB2YXJpYXRpb24=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aWZHTUlJ::TUlJX0dNSUk=::SW50ZXJmYWNl" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3VzZV9pbnRlcm5hbF9maWZv::dHJ1ZQ==::VXNlIGludGVybmFsIEZJRk8=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VjYw==::ZmFsc2U=::RW5hYmxlIEVDQyBwcm90ZWN0aW9u" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "dXNlX21pc2NfcG9ydHM=::dHJ1ZQ==::VXNlIG1pc2MgcG9ydHM=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "dHJhbnNjZWl2ZXJfdHlwZQ==::R1hC::VHJhbnNjZWl2ZXIgdHlwZQ==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hkX2xvZ2lj::ZmFsc2U=::RW5hYmxlIE1BQyAxMC8xMDAgaGFsZiBkdXBsZXggc3VwcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2dtaWlfbG9vcGJhY2s=::ZmFsc2U=::RW5hYmxlIGxvY2FsIGxvb3BiYWNrIG9uIE1JSS9HTUlJL1JHTUlJKg==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3N1cF9hZGRy::ZmFsc2U=::RW5hYmxlIHN1cHBsZW1lbnRhbCBNQUMgdW5pY2FzdCBhZGRyZXNzZXM=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "c3RhdF9jbnRfZW5h::dHJ1ZQ==::SW5jbHVkZSBzdGF0aXN0aWNzIGNvdW50ZXJz" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZXh0X3N0YXRfY250X2VuYQ==::ZmFsc2U=::RW5hYmxlIDY0LWJpdCBzdGF0aXN0aWNzIGJ5dGUgY291bnRlcnM=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hX2hhc2g=::ZmFsc2U=::SW5jbHVkZSBtdWx0aWNhc3QgaGFzaHRhYmxl" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NoaWZ0MTY=::dHJ1ZQ==::QWxpZ24gcGFja2V0IGhlYWRlcnMgdG8gMzItYml0IGJvdW5kYXJ5" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hY19mbG93X2N0cmw=::ZmFsc2U=::RW5hYmxlIGZ1bGwtZHVwbGV4IGZsb3cgY29udHJvbA==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hY192bGFu::ZmFsc2U=::RW5hYmxlIFZMQU4gZGV0ZWN0aW9u" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hZ2ljX2RldGVjdA==::dHJ1ZQ==::RW5hYmxlIG1hZ2ljIHBhY2tldCBkZXRlY3Rpb24=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "dXNlTURJTw==::dHJ1ZQ==::SW5jbHVkZSBNRElPIG1vZHVsZSAoTURDL01ESU8p" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "bWRpb19jbGtfZGl2::NDA=::SG9zdCBjbG9jayBkaXZpc29y" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VuYQ==::MzI=::V2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZWdfYWRkcg==::MTE=::VHJhbnNtaXQ=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "aW5nX2FkZHI=::MTE=::UmVjZWl2ZQ==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "cGh5X2lkZW50aWZpZXI=::MA==::UEhZIElEICgzMiBiaXQp" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NnbWlp::dHJ1ZQ==::RW5hYmxlIFNHTUlJIGJyaWRnZQ==" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "bmZfcGh5aXBfcmNmZ19lbmFibGU=::ZmFsc2U=::RW5hYmxlIEFycmlhIDEwIHRyYW5zY2VpdmVyIGR5bmFtaWMgcmVjb25maWd1cmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBBUzA2Nk4zRjQwSTJMRw==::QXV0byBERVZJQ0U=" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Mg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_NAME "YXJyaWExMF9ocHNfYWx0ZXJhX3hjdnJfbmF0aXZlX2ExMF8yMjFfc2Z2N2prcQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_DISPLAY_NAME "VHJhbnNjZWl2ZXIgTmF0aXZlIFBIWSBJbnRlbCBBcnJpYSAxMCBGUEdBIElQ" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_DESCRIPTION "QXJyaWEgMTAgVHJhbnNjZWl2ZXIgTmF0aXZlIFBIWS4=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX2ZhbWlseQ==::QXJyaWEgMTA=::ZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::MTBBUzA2Nk4zRjQwSTJMRw==::ZGV2aWNl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YmFzZV9kZXZpY2U=::TklHSFRGVVJZNA==::YmFzZV9kZXZpY2U=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGVzaWduX2Vudmlyb25tZW50::UVNZUw==::ZGVzaWduX2Vudmlyb25tZW50" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX3JldmlzaW9u::MjBubTQ=::ZGV2aWNlX3JldmlzaW9u" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bWVzc2FnZV9sZXZlbA==::ZXJyb3I=::TWVzc2FnZSBsZXZlbCBmb3IgcnVsZSB2aW9sYXRpb25z" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YW5sZ192b2x0YWdl::MV8wVg==::VkNDUl9HWEIgYW5kIFZDQ1RfR1hCIHN1cHBseSB2b2x0YWdlIGZvciB0aGUgVHJhbnNjZWl2ZXI=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YW5sZ19saW5r::c3I=::VHJhbmNlaXZlciBMaW5rIFR5cGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cHJvdG9jb2xfbW9kZQ==::Z2lnZV8xNTg4::VHJhbnNjZWl2ZXIgY29uZmlndXJhdGlvbiBydWxlcw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cG1hX21vZGU=::YmFzaWM=::UE1BIGNvbmZpZ3VyYXRpb24gcnVsZXM=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZHVwbGV4X21vZGU=::ZHVwbGV4::VHJhbnNjZWl2ZXIgbW9kZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Y2hhbm5lbHM=::MQ==::TnVtYmVyIG9mIGRhdGEgY2hhbm5lbHM=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2RhdGFfcmF0ZQ==::MTI1MA==::RGF0YSByYXRl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cmNmZ19pZmFjZV9lbmFibGU=::MA==::RW5hYmxlIGRhdGFwYXRoIGFuZCBpbnRlcmZhY2UgcmVjb25maWd1cmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NpbXBsZV9pbnRlcmZhY2U=::MQ==::RW5hYmxlIHNpbXBsaWZpZWQgZGF0YSBpbnRlcmZhY2U=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2Rpc2Nvbm5lY3RfYW5hbG9nX3Jlc2V0cw==::MA==::RGlzY29ubmVjdCBhbmFsb2cgcmVzZXRz" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3RyYW5zcGFyZW50X3Bjcw==::MA==::RW5hYmxlIHRyYW5zcGFyZW50IFBDUw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Ym9uZGVkX21vZGU=::bm90X2JvbmRlZA==::VFggY2hhbm5lbCBib25kaW5nIG1vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGNzX2JvbmRpbmdfbWFzdGVy::MA==::QWN0dWFsIFBDUyBUWCBjaGFubmVsIGJvbmRpbmcgbWFzdGVy" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "dHhfcG1hX2Nsa19kaXY=::Mg==::VFggbG9jYWwgY2xvY2sgZGl2aXNpb24gZmFjdG9y" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGxscw==::MQ==::TnVtYmVyIG9mIFRYIFBMTCBjbG9jayBpbnB1dHMgcGVyIGNoYW5uZWw=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGxsX3NlbGVjdA==::MA==::SW5pdGlhbCBUWCBQTEwgY2xvY2sgaW5wdXQgc2VsZWN0aW9u" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfYW5hbG9nX3Jlc2V0X2Fjaw==::MA==::RW5hYmxlIHR4X2FuYWxvZ19yZXNldF9hY2sgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2Nsa291dA==::MA==::RW5hYmxlIHR4X3BtYV9jbGtvdXQgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2Rpdl9jbGtvdXQ=::MA==::RW5hYmxlIHR4X3BtYV9kaXZfY2xrb3V0IHBvcnQ=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2lxdHhyeF9jbGtvdXQ=::MA==::RW5hYmxlIHR4X3BtYV9pcXR4cnhfY2xrb3V0IHBvcnQ=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX2VsZWNpZGxl::MA==::RW5hYmxlIHR4X3BtYV9lbGVjaWRsZSBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3FwaXB1bGx1cA==::MA==::RW5hYmxlIHR4X3BtYV9xcGlwdWxsdXAgcG9ydCAoUVBJKQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3FwaXB1bGxkbg==::MA==::RW5hYmxlIHR4X3BtYV9xcGlwdWxsZG4gcG9ydCAoUVBJKQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3R4ZGV0ZWN0cng=::MA==::RW5hYmxlIHR4X3BtYV90eGRldGVjdHJ4IHBvcnQgKFFQSSk=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG1hX3J4Zm91bmQ=::MA==::RW5hYmxlIHR4X3BtYV9yeGZvdW5kIHBvcnQgKFFQSSk=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc2VyaWFsbHBia2VuX3R4::MA==::RW5hYmxlIHJ4X3NlcmlhbGxwYmtlbiBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Y2RyX3JlZmNsa19jbnQ=::MQ==::TnVtYmVyIG9mIENEUiByZWZlcmVuY2UgY2xvY2tz" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Y2RyX3JlZmNsa19zZWxlY3Q=::MA==::U2VsZWN0ZWQgQ0RSIHJlZmVyZW5jZSBjbG9jaw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2Nkcl9yZWZjbGtfZnJlcQ==::MTI1LjAwMA==::U2VsZWN0ZWQgQ0RSIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcHBtX2RldGVjdF90aHJlc2hvbGQ=::MTAw::UFBNIGRldGVjdG9yIHRocmVzaG9sZA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcG1hX2N0bGVfYWRhcHRhdGlvbl9tb2Rl::bWFudWFs::Q1RMRSBtb2Rl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcG1hX2RmZV9hZGFwdGF0aW9uX21vZGU=::ZGlzYWJsZWQ=::REZFIG1vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX2FkYXB0YXRpb24=::MA==::RW5hYmxlIGFkYXB0YXRpb24gY29udHJvbCBwb3J0cw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfYW5hbG9nX3Jlc2V0X2Fjaw==::MA==::RW5hYmxlIHJ4X2FuYWxvZ19yZXNldF9hY2sgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2Nsa291dA==::MA==::RW5hYmxlIHJ4X3BtYV9jbGtvdXQgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2Rpdl9jbGtvdXQ=::MQ==::RW5hYmxlIHJ4X3BtYV9kaXZfY2xrb3V0IHBvcnQ=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfcG1hX2Rpdl9jbGtvdXRfZGl2aWRlcg==::MQ==::cnhfcG1hX2Rpdl9jbGtvdXQgZGl2aXNpb24gZmFjdG9y" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2lxdHhyeF9jbGtvdXQ=::MA==::RW5hYmxlIHJ4X3BtYV9pcXR4cnhfY2xrb3V0IHBvcnQ=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX2Nsa3NsaXA=::MA==::RW5hYmxlIHJ4X3BtYV9jbGtzbGlwIHBvcnQ=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG1hX3FwaXB1bGxkbg==::MA==::RW5hYmxlIHJ4X3BtYV9xcGlwdWxsZG4gcG9ydCAoUVBJKQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfaXNfbG9ja2VkdG9kYXRh::MQ==::RW5hYmxlIHJ4X2lzX2xvY2tlZHRvZGF0YSBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfaXNfbG9ja2VkdG9yZWY=::MQ==::RW5hYmxlIHJ4X2lzX2xvY2tlZHRvcmVmIHBvcnQ=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3J4X21hbnVhbF9jZHJfbW9kZQ==::MQ==::RW5hYmxlIHJ4X3NldF9sb2NrdG9kYXRhIGFuZCByeF9zZXRfbG9ja3RvcmVmIHBvcnRz" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc2lnbmFsZGV0ZWN0::MA==::RW5hYmxlIHJ4X3NpZ25hbGRldGVjdCBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc2VyaWFsbHBia2Vu::MQ==::RW5hYmxlIHJ4X3NlcmlhbGxwYmtlbiBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3J4X3ByYnM=::MA==::RW5hYmxlIFBSQlMgdmVyaWZpZXIgY29udHJvbCBhbmQgc3RhdHVzIHBvcnRz" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3Bjc19wbWFfd2lkdGg=::MTA=::U3RhbmRhcmQgUENTIC8gUE1BIGludGVyZmFjZSB3aWR0aA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGlzcGxheV9zdGRfdHhfcGxkX3Bjc193aWR0aA==::OA==::RlBHQSBmYWJyaWMgLyBTdGFuZGFyZCBUWCBQQ1MgaW50ZXJmYWNlIHdpZHRo" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGlzcGxheV9zdGRfcnhfcGxkX3Bjc193aWR0aA==::OA==::RlBHQSBmYWJyaWMgLyBTdGFuZGFyZCBSWCBQQ1MgaW50ZXJmYWNlIHdpZHRo" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX2xvd19sYXRlbmN5X2J5cGFzc19lbmFibGU=::MA==::RW5hYmxlICdTdGFuZGFyZCBQQ1MnIGxvdyBsYXRlbmN5IG1vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hpcA==::MA==::RW5hYmxlIFBDSWUgaGFyZCBJUCBzdXBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NrcF9wb3J0cw==::MA==::RW5hYmxlIFNLUCBwb3J0cyBmb3IgR2VuMw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfcmVzZXQ=::MA==::RW5hYmxlIGhhcmQgcmVzZXQgY29udHJvbGxlciAoSElQKQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c2V0X2hpcF9jYWxfZW4=::MA==::RW5hYmxlIFBDSWUgaGFyZCBJUCBjYWxpYnJhdGlvbg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "aGlwX2NhbF9lbg==::ZGlzYWJsZQ==::aGlwX2NhbF9lbg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BjaWVfZGF0YV9tYXNrX29wdGlvbg==::MA==::RW5hYmxlIFBDSWUgZGF0YSBtYXNrIGNvdW50IG11bHRpcGxpZXIgY29udHJvbA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X3BjZmlmb19tb2Rl::cmVnaXN0ZXJfZmlmbw==::VFggRklGTyBtb2Rl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3BjZmlmb19tb2Rl::cmVnaXN0ZXJfZmlmbw==::UlggRklGTyBtb2Rl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfc3RkX3BjZmlmb19mdWxs::MA==::RW5hYmxlIHR4X3N0ZF9wY2ZpZm9fZnVsbCBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfc3RkX3BjZmlmb19lbXB0eQ==::MA==::RW5hYmxlIHR4X3N0ZF9wY2ZpZm9fZW1wdHkgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3BjZmlmb19mdWxs::MA==::RW5hYmxlIHJ4X3N0ZF9wY2ZpZm9fZnVsbCBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3BjZmlmb19lbXB0eQ==::MA==::RW5hYmxlIHJ4X3N0ZF9wY2ZpZm9fZW1wdHkgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2J5dGVfc2VyX21vZGU=::RGlzYWJsZWQ=::VFggYnl0ZSBzZXJpYWxpemVyIG1vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X2J5dGVfZGVzZXJfbW9kZQ==::RGlzYWJsZWQ=::UlggYnl0ZSBkZXNlcmlhbGl6ZXIgbW9kZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4XzhiMTBiX2VuYWJsZQ==::MQ==::RW5hYmxlIFRYIDhCLzEwQiBlbmNvZGVy" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4XzhiMTBiX2Rpc3BfY3RybF9lbmFibGU=::MA==::RW5hYmxlIFRYIDhCLzEwQiBkaXNwYXJpdHkgY29udHJvbA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4XzhiMTBiX2VuYWJsZQ==::MQ==::RW5hYmxlIFJYIDhCLzEwQiBkZWNvZGVy" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3JtZmlmb19tb2Rl::ZGlzYWJsZWQ=::UlggcmF0ZSBtYXRjaCBGSUZPIG1vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3JtZmlmb19wYXR0ZXJuX24=::MA==::UlggcmF0ZSBtYXRjaCBpbnNlcnQvZGVsZXRlIC12ZSBwYXR0ZXJuIChoZXgp" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3JtZmlmb19wYXR0ZXJuX3A=::MA==::UlggcmF0ZSBtYXRjaCBpbnNlcnQvZGVsZXRlICt2ZSBwYXR0ZXJuIChoZXgp" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3JtZmlmb19mdWxs::MA==::RW5hYmxlIHJ4X3N0ZF9ybWZpZm9fZnVsbCBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3JtZmlmb19lbXB0eQ==::MA==::RW5hYmxlIHJ4X3N0ZF9ybWZpZm9fZW1wdHkgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cGNpZV9yYXRlX21hdGNo::QnlwYXNz::UENJIEV4cHJlc3MgR2VuIDMgcmF0ZSBtYXRjaCBGSUZPIG1vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2JpdHNsaXBfZW5hYmxl::MA==::RW5hYmxlIFRYIGJpdHNsaXA=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfc3RkX2JpdHNsaXBib3VuZGFyeXNlbA==::MA==::RW5hYmxlIHR4X3N0ZF9iaXRzbGlwYm91bmRhcnlzZWwgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9tb2Rl::c3luY2hyb25vdXMgc3RhdGUgbWFjaGluZQ==::Ulggd29yZCBhbGlnbmVyIG1vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9wYXR0ZXJuX2xlbg==::Nw==::Ulggd29yZCBhbGlnbmVyIHBhdHRlcm4gbGVuZ3Ro" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9wYXR0ZXJu::MTI0::Ulggd29yZCBhbGlnbmVyIHBhdHRlcm4gKGhleCk=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9ya251bWJlcg==::Mw==::TnVtYmVyIG9mIHdvcmQgYWxpZ25tZW50IHBhdHRlcm5zIHRvIGFjaGlldmUgc3luYw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9yZW51bWJlcg==::Mw==::TnVtYmVyIG9mIGludmFsaWQgZGF0YSB3b3JkcyB0byBsb3NlIHN5bmM=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9yZ251bWJlcg==::Mw==::TnVtYmVyIG9mIHZhbGlkIGRhdGEgd29yZHMgdG8gZGVjcmVtZW50IGVycm9yIGNvdW50" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9ydm51bWJlcg==::MA==::TnVtYmVyIG9mIHZhbGlkIGRhdGEgcGF0dGVybnMgcmVxdWlyZWQgdG8gYWNoaWV2ZSB3b3JkIGFsaWdubWVudA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3dvcmRfYWxpZ25lcl9mYXN0X3N5bmNfc3RhdHVzX2VuYWJsZQ==::MA==::RW5hYmxlIGZhc3Qgc3luYyBzdGF0dXMgcmVwb3J0aW5nIGZvciBkZXRlcm1pbmlzdGljIGxhdGVuY3kgU00=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3dhX3BhdHRlcm5hbGlnbg==::MA==::RW5hYmxlIHJ4X3N0ZF93YV9wYXR0ZXJuYWxpZ24gcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3dhX2ExYTJzaXpl::MA==::RW5hYmxlIHJ4X3N0ZF93YV9hMWEyc2l6ZSBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2JpdHNsaXBib3VuZGFyeXNlbA==::MQ==::RW5hYmxlIHJ4X3N0ZF9iaXRzbGlwYm91bmRhcnlzZWwgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2JpdHNsaXA=::MA==::RW5hYmxlIHJ4X2JpdHNsaXAgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2JpdHJldl9lbmFibGU=::MA==::RW5hYmxlIFRYIGJpdCByZXZlcnNhbA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X2J5dGVyZXZfZW5hYmxl::MA==::RW5hYmxlIFRYIGJ5dGUgcmV2ZXJzYWw=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3R4X3BvbGludl9lbmFibGU=::MA==::RW5hYmxlIFRYIHBvbGFyaXR5IGludmVyc2lvbg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfdHhfcG9saW52::MA==::RW5hYmxlIHR4X3BvbGludiBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X2JpdHJldl9lbmFibGU=::MA==::RW5hYmxlIFJYIGJpdCByZXZlcnNhbA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2JpdHJldl9lbmE=::MA==::RW5hYmxlIHJ4X3N0ZF9iaXRyZXZfZW5hIHBvcnQ=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X2J5dGVyZXZfZW5hYmxl::MA==::RW5hYmxlIFJYIGJ5dGUgcmV2ZXJzYWw=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX2J5dGVyZXZfZW5h::MA==::RW5hYmxlIHJ4X3N0ZF9ieXRlcmV2X2VuYSBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "c3RkX3J4X3BvbGludl9lbmFibGU=::MA==::RW5hYmxlIFJYIHBvbGFyaXR5IGludmVyc2lvbg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfcG9saW52::MA==::RW5hYmxlIHJ4X3BvbGludiBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcnhfc3RkX3NpZ25hbGRldGVjdA==::MA==::RW5hYmxlIHJ4X3N0ZF9zaWduYWxkZXRlY3QgcG9ydA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfc3c=::MA==::RW5hYmxlIFBDSWUgZHluYW1pYyBkYXRhcmF0ZSBzd2l0Y2ggcG9ydHM=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfaGNsaw==::MA==::RW5hYmxlIFBDSWUgcGlwZV9oY2xrX2luIGFuZCBwaXBlX2hjbGtfb3V0IHBvcnRz" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfZzNfYW5hbG9n::MA==::RW5hYmxlIFBDSWUgR2VuIDMgYW5hbG9nIGNvbnRyb2wgcG9ydHM=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRzX3BpcGVfcnhfZWxlY2lkbGU=::MA==::RW5hYmxlIFBDSWUgZWxlY3RyaWNhbCBpZGxlIGNvbnRyb2wgYW5kIHN0YXR1cyBwb3J0cw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BvcnRfcGlwZV9yeF9wb2xhcml0eQ==::MA==::RW5hYmxlIFBDSWUgcGlwZV9yeF9wb2xhcml0eSBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVfZG9jcw==::MA==::R2VuZXJhdGUgcGFyYW1ldGVyIGRvY3VtZW50YXRpb24gZmlsZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2FkdmFuY2VkX29wdGlvbnM=::MA==::ZW5hYmxlX2FkdmFuY2VkX29wdGlvbnM=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BoeXNpY2FsX2JvbmRpbmdfY2xvY2tz::MA==::ZW5hYmxlX3BoeXNpY2FsX2JvbmRpbmdfY2xvY2tz" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2RlYnVnX29wdGlvbnM=::MA==::ZW5hYmxlX2RlYnVnX29wdGlvbnM=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2FkdmFuY2VkX2F2bW1fb3B0aW9ucw==::MA==::ZW5hYmxlX2FkdmFuY2VkX2F2bW1fb3B0aW9ucw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX29kaV9hY2NlbGVyYXRvcg==::MA==::ZW5hYmxlX29kaV9hY2NlbGVyYXRvcg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9jaGFubmVscw==::MQ==::bF9jaGFubmVscw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "dHhfZW5hYmxl::MQ==::dHhfZW5hYmxl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGF0YXBhdGhfc2VsZWN0::U3RhbmRhcmQ=::ZGF0YXBhdGhfc2VsZWN0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cnhfZW5hYmxl::MQ==::cnhfZW5hYmxl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zcGxpdF9pZmFjZQ==::MA==::bF9zcGxpdF9pZmFjZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9wY3NfcG1hX3dpZHRo::MTA=::bF9wY3NfcG1hX3dpZHRo" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF90eF9wbGRfcGNzX3dpZHRo::MTA=::bF90eF9wbGRfcGNzX3dpZHRo" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9yeF9wbGRfcGNzX3dpZHRo::MTA=::bF9yeF9wbGRfcGNzX3dpZHRo" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9wbGxfc2V0dGluZ3M=::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::bF9wbGxfc2V0dGluZ3M=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9wbGxfc2V0dGluZ3Nfa2V5::MTI1LjAwMDAwMA==::bF9wbGxfc2V0dGluZ3Nfa2V5" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcG1hX2JvbmRpbmc=::MA==::bF9lbmFibGVfcG1hX2JvbmRpbmc=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcmV2ZV9zdXBwb3J0::MA==::bF9lbmFibGVfcmV2ZV9zdXBwb3J0" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3N0ZA==::MQ==::ZW5hYmxlX3N0ZA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfc3RkX3BpcGU=::MA==::bF9lbmFibGVfc3RkX3BpcGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfc3Rk::MQ==::bF9lbmFibGVfdHhfc3Rk" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfc3Rk::MQ==::bF9lbmFibGVfcnhfc3Rk" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfc3RkX2lmYWNl::MQ==::bF9lbmFibGVfdHhfc3RkX2lmYWNl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfc3RkX2lmYWNl::MQ==::bF9lbmFibGVfcnhfc3RkX2lmYWNl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfd29yZF9jb3VudA==::MQ==::bF9zdGRfdHhfd29yZF9jb3VudA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfd29yZF93aWR0aA==::OA==::bF9zdGRfdHhfd29yZF93aWR0aA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfZmllbGRfd2lkdGg=::MTE=::bF9zdGRfdHhfZmllbGRfd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfd29yZF9jb3VudA==::MQ==::bF9zdGRfcnhfd29yZF9jb3VudA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfd29yZF93aWR0aA==::OA==::bF9zdGRfcnhfd29yZF93aWR0aA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfZmllbGRfd2lkdGg=::MTY=::bF9zdGRfcnhfZmllbGRfd2lkdGg=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfdHhfcGxkX3Bjc193aWR0aA==::MTA=::bF9zdGRfdHhfcGxkX3Bjc193aWR0aA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfcnhfcGxkX3Bjc193aWR0aA==::MTA=::bF9zdGRfcnhfcGxkX3Bjc193aWR0aA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9zdGRfZGF0YV9tYXNrX2NvdW50X211bHRp::MA==::bF9zdGRfZGF0YV9tYXNrX2NvdW50X211bHRp" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VuaA==::MA==::ZW5hYmxlX2VuaA==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfZW5o::MA==::bF9lbmFibGVfdHhfZW5o" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfZW5o::MA==::bF9lbmFibGVfcnhfZW5o" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfZW5oX2lmYWNl::MA==::bF9lbmFibGVfdHhfZW5oX2lmYWNl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfZW5oX2lmYWNl::MA==::bF9lbmFibGVfcnhfZW5oX2lmYWNl" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3Bjc19kaXI=::MA==::ZW5hYmxlX3Bjc19kaXI=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfdHhfcGNzX2Rpcg==::MA==::bF9lbmFibGVfdHhfcGNzX2Rpcg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9lbmFibGVfcnhfcGNzX2Rpcg==::MA==::bF9lbmFibGVfcnhfcGNzX2Rpcg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9yY2ZnX2lmYWNlcw==::MQ==::bF9yY2ZnX2lmYWNlcw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9yY2ZnX2FkZHJfYml0cw==::MTA=::bF9yY2ZnX2FkZHJfYml0cw==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cmNmZ19lbmFibGU=::MA==::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9u" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YWRtZV9wcm90X21vZGU=::Z2lnZV8xNTg4::YWRtZV9wcm90X21vZGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "YWRtZV9kYXRhX3JhdGU=::MTI1MDAwMDAwMA==::YWRtZV9kYXRhX3JhdGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX2VtYmVkZGVkX2RlYnVnX2VuYWJsZQ==::MA==::ZGJnX2VtYmVkZGVkX2RlYnVnX2VuYWJsZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX2NhcGFiaWxpdHlfcmVnX2VuYWJsZQ==::MA==::ZGJnX2NhcGFiaWxpdHlfcmVnX2VuYWJsZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX3VzZXJfaWRlbnRpZmllcg==::MA==::ZGJnX3VzZXJfaWRlbnRpZmllcg==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX3N0YXRfc29mdF9sb2dpY19lbmFibGU=::MA==::ZGJnX3N0YXRfc29mdF9sb2dpY19lbmFibGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX2N0cmxfc29mdF9sb2dpY19lbmFibGU=::MA==::ZGJnX2N0cmxfc29mdF9sb2dpY19lbmFibGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX3ByYnNfc29mdF9sb2dpY19lbmFibGU=::MA==::ZGJnX3ByYnNfc29mdF9sb2dpY19lbmFibGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "ZGJnX29kaV9zb2Z0X2xvZ2ljX2VuYWJsZQ==::MA==::ZGJnX29kaV9zb2Z0X2xvZ2ljX2VuYWJsZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "cmNmZ19lbWJfc3RybV9lbmFibGU=::MA==::cmNmZ19lbWJfc3RybV9lbmFibGU=" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9hbmxnX3R4X2VuYWJsZQ==::MA==::bF9hbmxnX3R4X2VuYWJsZQ==" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_COMPONENT_PARAMETER "bF9hbmxnX3J4X2VuYWJsZQ==::MA==::bF9hbmxnX3J4X2VuYWJsZQ==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfbWFj" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfbWFj" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_INTERNAL "On" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "SU5HX0FERFI=::MTE=::SU5HX0FERFI=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19SWF9WTEFO::ZmFsc2U=::RU5BQkxFX01BQ19SWF9WTEFO" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NVUF9BRERS::ZmFsc2U=::RU5BQkxFX1NVUF9BRERS" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::QVJSSUExMA==::REVWSUNFX0ZBTUlMWQ==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "SU5TRVJUX1RB::ZmFsc2U=::SU5TRVJUX1RB" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "SU5HX0ZJRk8=::MjA0OA==::SU5HX0ZJRk8=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VDQw==::ZmFsc2U=::RU5BQkxFX0VDQw==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJEV0lEVEg=::OA==::Q1JDMzJEV0lEVEg=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VOQQ==::MzI=::RU5BQkxFX0VOQQ==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "U1lOQ0hST05JWkVSX0RFUFRI::Mw==::U1lOQ0hST05JWkVSX0RFUFRI" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19UWF9WTEFO::ZmFsc2U=::RU5BQkxFX01BQ19UWF9WTEFO" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkVTRVRfTEVWRUw=::MQ==::UkVTRVRfTEVWRUw=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "U1RBVF9DTlRfRU5B::dHJ1ZQ==::U1RBVF9DTlRfRU5B" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1VTVF9WRVJTSU9O::MA==::Q1VTVF9WRVJTSU9O" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJTMUwyX0VYVEVSTg==::ZmFsc2U=::Q1JDMzJTMUwyX0VYVEVSTg==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "TUJJVF9PTkxZ::dHJ1ZQ==::TUJJVF9PTkxZ" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RUdfQUREUg==::MTE=::RUdfQUREUg==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q09SRV9WRVJTSU9O::NTYzMw==::Q09SRV9WRVJTSU9O" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJHRU5ERUxBWQ==::Ng==::Q1JDMzJHRU5ERUxBWQ==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RUdfRklGTw==::MjA0OA==::RUdfRklGTw==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkVEVUNFRF9JTlRFUkZBQ0VfRU5B::ZmFsc2U=::UkVEVUNFRF9JTlRFUkZBQ0VfRU5B" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BR0lDX0RFVEVDVA==::dHJ1ZQ==::RU5BQkxFX01BR0lDX0RFVEVDVA==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01ESU8=::dHJ1ZQ==::RU5BQkxFX01ESU8=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19UWEFERFJfU0VU::dHJ1ZQ==::RU5BQkxFX01BQ19UWEFERFJfU0VU" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkFNX1RZUEU=::QVVUTw==::UkFNX1RZUEU=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Q1JDMzJDSEVDSzE2QklU::MA==::Q1JDMzJDSEVDSzE2QklU" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xHVEhfQ0hFQ0s=::dHJ1ZQ==::RU5BQkxFX0xHVEhfQ0hFQ0s=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19GTE9XX0NUUkw=::ZmFsc2U=::RU5BQkxFX01BQ19GTE9XX0NUUkw=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NISUZUMTY=::dHJ1ZQ==::RU5BQkxFX1NISUZUMTY=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "VVNFX1NZTkNfUkVTRVQ=::dHJ1ZQ==::VVNFX1NZTkNfUkVTRVQ=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "UkVEVUNFRF9DT05UUk9M::ZmFsc2U=::UkVEVUNFRF9DT05UUk9M" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "TURJT19DTEtfRElW::NDA=::TURJT19DTEtfRElW" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1BBRERJTkc=::dHJ1ZQ==::RU5BQkxFX1BBRERJTkc=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0dNSUlfTE9PUEJBQ0s=::ZmFsc2U=::RU5BQkxFX0dNSUlfTE9PUEJBQ0s=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "R0JJVF9PTkxZ::dHJ1ZQ==::R0JJVF9PTkxZ" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BX0hBU0g=::ZmFsc2U=::RU5BX0hBU0g=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVEVOREVEX1NUQVRfUkVH::ZmFsc2U=::RU5BQkxFX0VYVEVOREVEX1NUQVRfUkVH" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0hEX0xPR0lD::ZmFsc2U=::RU5BQkxFX0hEX0xPR0lD" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "aWZHTUlJ::TUlJX0dNSUk=::SW50ZXJmYWNl" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "dXNlX21pc2NfcG9ydHM=::dHJ1ZQ==::RW5hYmxlIG1pc2MgcG9ydHM=" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "dXNlX21hY19jbGtlbg==::ZmFsc2U=::RW5hYmxlIGNsb2NrIGVuYWJsZSBwb3J0cw==" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_COMPONENT_PARAMETER "Y29ubmVjdF90b19wY3M=::dHJ1ZQ==::Q29ubmVjdCB0aGlzIG1hYyBpbnN0YW5jZSB0byBwY3M=" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfcGNzX3BtYV9uZl9waHlpcA==" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfcGNzX3BtYV9uZl9waHlpcA==" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_INTERNAL "On" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1RJTUVTVEFNUElORw==::ZmFsc2U=::RU5BQkxFX1RJTUVTVEFNUElORw==" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "REVWX1ZFUlNJT04=::NTYzMw==::REVWX1ZFUlNJT04=" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VDQw==::ZmFsc2U=::RU5BQkxFX0VDQw==" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::QVJSSUExMA==::REVWSUNFX0ZBTUlMWQ==" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "U1lOQ0hST05JWkVSX0RFUFRI::Mw==::U1lOQ0hST05JWkVSX0RFUFRI" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NMS19TSEFSSU5H::ZmFsc2U=::RU5BQkxFX0NMS19TSEFSSU5H" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NHTUlJ::dHJ1ZQ==::RU5BQkxFX1NHTUlJ" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "UEhZX0lERU5USUZJRVI=::MA==::UEhZX0lERU5USUZJRVI=" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "Y29ubmVjdF90b19tYWM=::dHJ1ZQ==::Q29ubmVjdCB0aGlzIFBDUyB0byBNQUM=" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3VzZV9pbnRlcm5hbF9maWZv::dHJ1ZQ==::ZW5hYmxlX3VzZV9pbnRlcm5hbF9maWZv" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hkX2xvZ2lj::ZmFsc2U=::ZW5hYmxlX2hkX2xvZ2lj" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfYXZhbG9uX2FyYml0ZXI=" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfYXZhbG9uX2FyYml0ZXI=" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_INTERNAL "On" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_PARAMETER "TUFYX0NIQU5ORUxT::MQ==::TUFYX0NIQU5ORUxT" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_PARAMETER "TUFDX09OTFk=::ZmFsc2U=::TUFDX09OTFk=" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_COMPONENT_PARAMETER "U0xBVkVfQUREUl9XSURUSA==::OA==::U0xBVkVfQUREUl9XSURUSA==" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfbmZfcGh5aXBfdGVybWluYXRvcg==" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfbmZfcGh5aXBfdGVybWluYXRvcg==" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_INTERNAL "On" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_VERSION "MjIuMQ==" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NHTUlJ::dHJ1ZQ==::RU5BQkxFX1NHTUlJ" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1RJTUVTVEFNUElORw==::ZmFsc2U=::RU5BQkxFX1RJTUVTVEFNUElORw==" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_COMPONENT_PARAMETER "VU5VU0VEX1JYX1BBUkFMTEVMX0RBVEFfV0lEVEg=::MTE0::VU5VU0VEX1JYX1BBUkFMTEVMX0RBVEFfV0lEVEg=" set_global_assignment -library "arria10_hps" -name VERILOG_FILE [file join $::quartus(qip_path) "synth/arria10_hps.v"] set_global_assignment -library "arria10_hps" -name VERILOG_FILE [file join $::quartus(qip_path) "synth/arria10_hps_cfg.v"] +set_global_assignment -library "arria10_hps_altera_arria10_hps_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_221/synth/arria10_hps_altera_arria10_hps_221_ejcddfq.v"] +set_global_assignment -library "arria10_hps_altera_arria10_hps_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_221/synth/arria10_hps_altera_arria10_hps_221_ejcddfq_cfg.v"] +set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/arria10_hps_altera_arria10_interface_generator_140_m6uxvxa.sv"] +set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/hps_a10_lib.v"] +set_global_assignment -library "arria10_hps_altera_arria10_hps_io_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_io_221/synth/arria10_hps_altera_arria10_hps_io_221_7htij7i.v"] +set_global_assignment -library "arria10_hps_altera_arria10_hps_io_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_io_221/synth/arria10_hps_altera_arria10_hps_io_221_7htij7i_cfg.v"] +set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/arria10_hps_altera_arria10_interface_generator_140_26qouiq.sv"] +set_instance_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_26qouiq" -library "arria10_hps_altera_arria10_interface_generator_140" -name HPS_ISW_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/hps.pre.xml"] +set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name MISC_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/hps.pre.xml"] +set_global_assignment -library "arria10_hps_altera_reset_controller_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_reset_controller_221/synth/altera_reset_controller.v"] +set_global_assignment -library "arria10_hps_altera_reset_controller_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_reset_controller_221/synth/altera_reset_synchronizer.v"] +set_global_assignment -library "arria10_hps_altera_reset_controller_221" -name SDC_FILE [file join $::quartus(qip_path) "altera_reset_controller_221/synth/altera_reset_controller.sdc"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/twentynm_xcvr_avmm.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_resync.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_arbiter.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/a10_avmm_h.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/altera_xcvr_native_a10_functions_h.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_atx_pll_rcfg_arb.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/a10_xcvr_atx_pll.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_pll_embedded_debug.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_pll_avmm_csr.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SOURCE_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/plain_files.txt"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SOURCE_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/docs/arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga_parameters.csv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_atx_pll_rcfg_opt_logic_syj5sga.sv"] +set_global_assignment -library "arria10_hps_altera_mm_interconnect_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_mm_interconnect_221/synth/arria10_hps_altera_mm_interconnect_221_fg5byai.v"] +set_global_assignment -library "arria10_hps_altera_mm_interconnect_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_mm_interconnect_221/synth/arria10_hps_altera_mm_interconnect_221_fg5byai_cfg.v"] +set_global_assignment -library "arria10_hps_altera_merlin_demultiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_demultiplexer_221/synth/arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy.sv"] +set_global_assignment -library "arria10_hps_altera_avalon_st_adapter_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_adapter_221/synth/arria10_hps_altera_avalon_st_adapter_221_36tuu3a.v"] +set_global_assignment -library "arria10_hps_altera_avalon_st_adapter_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_adapter_221/synth/arria10_hps_altera_avalon_st_adapter_221_36tuu3a_cfg.v"] +set_global_assignment -library "arria10_hps_error_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "error_adapter_221/synth/arria10_hps_error_adapter_221_ljusu3a.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_axi_master_ni_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_axi_master_ni_221/synth/altera_merlin_axi_master_ni.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_axi_master_ni_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_axi_master_ni_221/synth/altera_merlin_address_alignment.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_multiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_multiplexer_221/synth/arria10_hps_altera_merlin_multiplexer_221_huj2kiy.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_multiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_multiplexer_221/synth/altera_merlin_arbitrator.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_multiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_multiplexer_221/synth/arria10_hps_altera_merlin_multiplexer_221_vzucqyy.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_slave_translator_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_slave_translator_221/synth/altera_merlin_slave_translator.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_router_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_router_221/synth/arria10_hps_altera_merlin_router_221_qfjs35a.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_width_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_width_adapter_221/synth/altera_merlin_width_adapter.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_width_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_width_adapter_221/synth/altera_merlin_address_alignment.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_width_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_width_adapter_221/synth/altera_merlin_burst_uncompressor.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_slave_agent_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_slave_agent_221/synth/altera_merlin_slave_agent.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_slave_agent_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_slave_agent_221/synth/altera_merlin_burst_uncompressor.sv"] +set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_handshake_clock_crosser.v"] +set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_clock_crosser.v"] +set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_pipeline_base.v"] +set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_std_synchronizer_nocut.v"] +set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SDC_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_handshake_clock_crosser.sdc"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter_uncmpr.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter_13_1.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter_new.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_incr_burst_converter.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_wrap_burst_converter.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_default_burst_converter.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_address_alignment.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_avalon_st_pipeline_stage.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_avalon_st_pipeline_base.v"] +set_global_assignment -library "arria10_hps_altera_avalon_sc_fifo_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_sc_fifo_221/synth/altera_avalon_sc_fifo.v"] +set_global_assignment -library "arria10_hps_altera_merlin_router_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_router_221/synth/arria10_hps_altera_merlin_router_221_xe243si.sv"] +set_global_assignment -library "arria10_hps_altera_merlin_demultiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_demultiplexer_221/synth/arria10_hps_altera_merlin_demultiplexer_221_72yhala.sv"] set_global_assignment -library "arria10_hps_altera_eth_tse_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_221/synth/arria10_hps_altera_eth_tse_221_2txhhaq.v"] set_global_assignment -library "arria10_hps_altera_eth_tse_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_221/synth/arria10_hps_altera_eth_tse_221_2txhhaq_cfg.v"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_resync.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_arbiter.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_pcs.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_pma.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_xcvr_avmm.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_xcvr_native.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_a10_functions_h.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/a10_avmm_h.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_pipe_retry.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_avmm_csr.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_prbs_accum.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_odi_accel.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_rcfg_arb.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SDC_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_a10_false_paths.sdc"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_pcie_dfe_params_h.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_commands_h.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_functions_h.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_program.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_cpu.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_master.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_pcie_dfe_ip.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SOURCE_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/plain_files.txt"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/arria10_hps_altera_xcvr_native_a10_221_sfv7jkq.sv"] +set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_rcfg_opt_logic_sfv7jkq.sv"] set_global_assignment -library "arria10_hps_altera_eth_tse_mac_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_mac_221/synth/altera_eth_tse_mac.v"] set_global_assignment -library "arria10_hps_altera_eth_tse_mac_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_mac_221/synth/altera_tse_clk_cntl.v"] set_global_assignment -library "arria10_hps_altera_eth_tse_mac_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_mac_221/synth/altera_tse_crc328checker.v"] @@ -1595,168 +1648,83 @@ set_global_assignment -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" set_global_assignment -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_pcs_pma_nf_phyip_221/synth/altera_std_synchronizer_nocut.v"] set_global_assignment -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name SDC_FILE [file join $::quartus(qip_path) "altera_eth_tse_pcs_pma_nf_phyip_221/synth/altera_eth_tse_pcs_pma_nf_phyip.sdc"] set_global_assignment -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_avalon_arbiter_221/synth/altera_eth_tse_avalon_arbiter.v"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_resync.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_arbiter.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_pcs.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_pma.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_xcvr_avmm.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/twentynm_xcvr_native.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_a10_functions_h.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/a10_avmm_h.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_pipe_retry.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_avmm_csr.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_prbs_accum.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_odi_accel.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_rcfg_arb.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SDC_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_a10_false_paths.sdc"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_pcie_dfe_params_h.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_commands_h.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_functions_h.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_program.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_cpu.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/pcie_mgmt_master.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/altera_xcvr_native_pcie_dfe_ip.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SOURCE_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/plain_files.txt"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/arria10_hps_altera_xcvr_native_a10_221_sfv7jkq.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_native_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_native_a10_221/synth/alt_xcvr_native_rcfg_opt_logic_sfv7jkq.sv"] -set_global_assignment -library "arria10_hps_altera_reset_controller_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_reset_controller_221/synth/altera_reset_controller.v"] -set_global_assignment -library "arria10_hps_altera_reset_controller_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_reset_controller_221/synth/altera_reset_synchronizer.v"] -set_global_assignment -library "arria10_hps_altera_reset_controller_221" -name SDC_FILE [file join $::quartus(qip_path) "altera_reset_controller_221/synth/altera_reset_controller.sdc"] set_global_assignment -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_eth_tse_nf_phyip_terminator_221/synth/altera_eth_tse_nf_phyip_terminator.v"] -set_global_assignment -library "arria10_hps_altera_arria10_hps_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_221/synth/arria10_hps_altera_arria10_hps_221_ejcddfq.v"] -set_global_assignment -library "arria10_hps_altera_arria10_hps_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_221/synth/arria10_hps_altera_arria10_hps_221_ejcddfq_cfg.v"] -set_global_assignment -library "arria10_hps_altera_arria10_hps_io_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_io_221/synth/arria10_hps_altera_arria10_hps_io_221_7htij7i.v"] -set_global_assignment -library "arria10_hps_altera_arria10_hps_io_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_hps_io_221/synth/arria10_hps_altera_arria10_hps_io_221_7htij7i_cfg.v"] -set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/arria10_hps_altera_arria10_interface_generator_140_26qouiq.sv"] -set_instance_assignment -entity "arria10_hps_altera_arria10_interface_generator_140_26qouiq" -library "arria10_hps_altera_arria10_interface_generator_140" -name HPS_ISW_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/hps.pre.xml"] -set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name MISC_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/hps.pre.xml"] -set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/hps_a10_lib.v"] -set_global_assignment -library "arria10_hps_altera_arria10_interface_generator_140" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_arria10_interface_generator_140/synth/arria10_hps_altera_arria10_interface_generator_140_m6uxvxa.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/twentynm_xcvr_avmm.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_resync.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_arbiter.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/a10_avmm_h.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/altera_xcvr_native_a10_functions_h.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_atx_pll_rcfg_arb.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/a10_xcvr_atx_pll.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_pll_embedded_debug.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_pll_avmm_csr.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SOURCE_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/plain_files.txt"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SOURCE_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/docs/arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga_parameters.csv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga.sv"] -set_global_assignment -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_xcvr_atx_pll_a10_221/synth/alt_xcvr_atx_pll_rcfg_opt_logic_syj5sga.sv"] -set_global_assignment -library "arria10_hps_altera_mm_interconnect_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_mm_interconnect_221/synth/arria10_hps_altera_mm_interconnect_221_fg5byai.v"] -set_global_assignment -library "arria10_hps_altera_mm_interconnect_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_mm_interconnect_221/synth/arria10_hps_altera_mm_interconnect_221_fg5byai_cfg.v"] -set_global_assignment -library "arria10_hps_altera_merlin_demultiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_demultiplexer_221/synth/arria10_hps_altera_merlin_demultiplexer_221_72yhala.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_multiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_multiplexer_221/synth/arria10_hps_altera_merlin_multiplexer_221_huj2kiy.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_multiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_multiplexer_221/synth/altera_merlin_arbitrator.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_slave_agent_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_slave_agent_221/synth/altera_merlin_slave_agent.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_slave_agent_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_slave_agent_221/synth/altera_merlin_burst_uncompressor.sv"] -set_global_assignment -library "arria10_hps_altera_avalon_st_adapter_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_adapter_221/synth/arria10_hps_altera_avalon_st_adapter_221_36tuu3a.v"] -set_global_assignment -library "arria10_hps_altera_avalon_st_adapter_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_adapter_221/synth/arria10_hps_altera_avalon_st_adapter_221_36tuu3a_cfg.v"] -set_global_assignment -library "arria10_hps_error_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "error_adapter_221/synth/arria10_hps_error_adapter_221_ljusu3a.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_demultiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_demultiplexer_221/synth/arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy.sv"] -set_global_assignment -library "arria10_hps_altera_avalon_sc_fifo_221" -name VERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_sc_fifo_221/synth/altera_avalon_sc_fifo.v"] -set_global_assignment -library "arria10_hps_altera_merlin_multiplexer_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_multiplexer_221/synth/arria10_hps_altera_merlin_multiplexer_221_vzucqyy.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_router_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_router_221/synth/arria10_hps_altera_merlin_router_221_xe243si.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_router_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_router_221/synth/arria10_hps_altera_merlin_router_221_qfjs35a.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_axi_master_ni_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_axi_master_ni_221/synth/altera_merlin_axi_master_ni.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_axi_master_ni_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_axi_master_ni_221/synth/altera_merlin_address_alignment.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_width_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_width_adapter_221/synth/altera_merlin_width_adapter.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_width_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_width_adapter_221/synth/altera_merlin_address_alignment.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_width_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_width_adapter_221/synth/altera_merlin_burst_uncompressor.sv"] -set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_handshake_clock_crosser.v"] -set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_clock_crosser.v"] -set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_pipeline_base.v"] -set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_std_synchronizer_nocut.v"] -set_global_assignment -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name SDC_FILE [file join $::quartus(qip_path) "altera_avalon_st_handshake_clock_crosser_221/synth/altera_avalon_st_handshake_clock_crosser.sdc"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter_uncmpr.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter_13_1.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_burst_adapter_new.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_incr_burst_converter.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_wrap_burst_converter.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_default_burst_converter.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_merlin_address_alignment.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_avalon_st_pipeline_stage.sv"] -set_global_assignment -library "arria10_hps_altera_merlin_burst_adapter_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_burst_adapter_221/synth/altera_avalon_st_pipeline_base.v"] -set_global_assignment -library "arria10_hps_altera_merlin_slave_translator_221" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "altera_merlin_slave_translator_221/synth/altera_merlin_slave_translator.sv"] -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_TOOL_NAME "altera_eth_tse" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_TOOL_NAME "altera_eth_tse_mac" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_TOOL_NAME "altera_eth_tse_pcs_pma_nf_phyip" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_TOOL_NAME "altera_eth_tse_avalon_arbiter" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_TOOL_NAME "altera_xcvr_native_a10" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_TOOL_NAME "altera_reset_controller" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_TOOL_NAME "altera_eth_tse_nf_phyip_terminator" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_altera_arria10_hps_221_ejcddfq" -library "arria10_hps_altera_arria10_hps_221" -name IP_TOOL_NAME "altera_arria10_hps" set_global_assignment -entity "arria10_hps_altera_arria10_hps_221_ejcddfq" -library "arria10_hps_altera_arria10_hps_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_altera_arria10_hps_221_ejcddfq" -library "arria10_hps_altera_arria10_hps_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_altera_arria10_hps_io_221_7htij7i" -library "arria10_hps_altera_arria10_hps_io_221" -name IP_TOOL_NAME "altera_arria10_hps_io" set_global_assignment -entity "arria10_hps_altera_arria10_hps_io_221_7htij7i" -library "arria10_hps_altera_arria10_hps_io_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_altera_arria10_hps_io_221_7htij7i" -library "arria10_hps_altera_arria10_hps_io_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_TOOL_NAME "altera_reset_controller" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_reset_controller" -library "arria10_hps_altera_reset_controller_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga" -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name IP_TOOL_NAME "altera_xcvr_atx_pll_a10" set_global_assignment -entity "arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga" -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga" -library "arria10_hps_altera_xcvr_atx_pll_a10_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_altera_mm_interconnect_221_fg5byai" -library "arria10_hps_altera_mm_interconnect_221" -name IP_TOOL_NAME "altera_mm_interconnect" set_global_assignment -entity "arria10_hps_altera_mm_interconnect_221_fg5byai" -library "arria10_hps_altera_mm_interconnect_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_altera_mm_interconnect_221_fg5byai" -library "arria10_hps_altera_mm_interconnect_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_NAME "altera_merlin_demultiplexer" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_NAME "altera_merlin_multiplexer" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_TOOL_NAME "altera_merlin_slave_agent" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_NAME "altera_merlin_demultiplexer" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_altera_avalon_st_adapter_221_36tuu3a" -library "arria10_hps_altera_avalon_st_adapter_221" -name IP_TOOL_NAME "altera_avalon_st_adapter" set_global_assignment -entity "arria10_hps_altera_avalon_st_adapter_221_36tuu3a" -library "arria10_hps_altera_avalon_st_adapter_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_altera_avalon_st_adapter_221_36tuu3a" -library "arria10_hps_altera_avalon_st_adapter_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_error_adapter_221_ljusu3a" -library "arria10_hps_error_adapter_221" -name IP_TOOL_NAME "error_adapter" set_global_assignment -entity "arria10_hps_error_adapter_221_ljusu3a" -library "arria10_hps_error_adapter_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_error_adapter_221_ljusu3a" -library "arria10_hps_error_adapter_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_NAME "altera_merlin_demultiplexer" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_TOOL_NAME "altera_avalon_sc_fifo" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_TOOL_NAME "altera_merlin_axi_master_ni" +set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_NAME "altera_merlin_multiplexer" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_huj2kiy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_NAME "altera_merlin_multiplexer" set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -library "arria10_hps_altera_merlin_multiplexer_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_NAME "altera_merlin_router" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_TOOL_NAME "altera_merlin_slave_translator" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_NAME "altera_merlin_router" set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "arria10_hps_altera_merlin_router_221_qfjs35a" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_TOOL_NAME "altera_merlin_axi_master_ni" -set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_merlin_axi_master_ni" -library "arria10_hps_altera_merlin_axi_master_ni_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_TOOL_NAME "altera_merlin_width_adapter" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "altera_merlin_width_adapter" -library "arria10_hps_altera_merlin_width_adapter_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_TOOL_NAME "altera_merlin_slave_agent" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_merlin_slave_agent" -library "arria10_hps_altera_merlin_slave_agent_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name IP_TOOL_NAME "altera_avalon_st_handshake_clock_crosser" set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "arria10_hps_altera_avalon_st_handshake_clock_crosser_221" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "altera_merlin_burst_adapter" -library "arria10_hps_altera_merlin_burst_adapter_221" -name IP_TOOL_NAME "altera_merlin_burst_adapter" set_global_assignment -entity "altera_merlin_burst_adapter" -library "arria10_hps_altera_merlin_burst_adapter_221" -name IP_TOOL_VERSION "22.1" set_global_assignment -entity "altera_merlin_burst_adapter" -library "arria10_hps_altera_merlin_burst_adapter_221" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_TOOL_NAME "altera_merlin_slave_translator" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_TOOL_VERSION "22.1" -set_global_assignment -entity "altera_merlin_slave_translator" -library "arria10_hps_altera_merlin_slave_translator_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_TOOL_NAME "altera_avalon_sc_fifo" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "arria10_hps_altera_avalon_sc_fifo_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_NAME "altera_merlin_router" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "arria10_hps_altera_merlin_router_221_xe243si" -library "arria10_hps_altera_merlin_router_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_NAME "altera_merlin_demultiplexer" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "arria10_hps_altera_merlin_demultiplexer_221_72yhala" -library "arria10_hps_altera_merlin_demultiplexer_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_TOOL_NAME "altera_eth_tse" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "arria10_hps_altera_eth_tse_221_2txhhaq" -library "arria10_hps_altera_eth_tse_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_TOOL_NAME "altera_xcvr_native_a10" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" -library "arria10_hps_altera_xcvr_native_a10_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_TOOL_NAME "altera_eth_tse_mac" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_eth_tse_mac" -library "arria10_hps_altera_eth_tse_mac_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_TOOL_NAME "altera_eth_tse_pcs_pma_nf_phyip" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_eth_tse_pcs_pma_nf_phyip" -library "arria10_hps_altera_eth_tse_pcs_pma_nf_phyip_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_TOOL_NAME "altera_eth_tse_avalon_arbiter" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_eth_tse_avalon_arbiter" -library "arria10_hps_altera_eth_tse_avalon_arbiter_221" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_TOOL_NAME "altera_eth_tse_nf_phyip_terminator" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_TOOL_VERSION "22.1" +set_global_assignment -entity "altera_eth_tse_nf_phyip_terminator" -library "arria10_hps_altera_eth_tse_nf_phyip_terminator_221" -name IP_TOOL_ENV "Qsys" diff --git a/quartus/qsys/arria10_hps/arria10_hps.xml b/quartus/qsys/arria10_hps/arria10_hps.xml index 6b4758d2045b7c8f09c9f8c923288a8feaceae94..7ca180d363a21ab01c6cbb1e80d01882a3a94c85 100644 --- a/quartus/qsys/arria10_hps/arria10_hps.xml +++ b/quartus/qsys/arria10_hps/arria10_hps.xml @@ -1,6 +1,6 @@ <?xml version="1.0" encoding="UTF-8"?> <deploy - date="2025.04.01.18:12:25" + date="2025.04.02.15:07:57" outputDirectory="/prj_eth/vshapova/miars-fpga/quartus/qsys/arria10_hps/"> <perimeter> <parameter @@ -94,37 +94,37 @@ onHdl="0" affectsHdl="1" /> <parameter - name="AUTO_CLK_CLOCK_RATE" + name="AUTO_CLK_125M_CLOCK_RATE" type="Long" defaultValue="-1" onHdl="0" affectsHdl="1" /> <parameter - name="AUTO_CLK_CLOCK_DOMAIN" + name="AUTO_CLK_125M_CLOCK_DOMAIN" type="Integer" defaultValue="-1" onHdl="0" affectsHdl="1" /> <parameter - name="AUTO_CLK_RESET_DOMAIN" + name="AUTO_CLK_125M_RESET_DOMAIN" type="Integer" defaultValue="-1" onHdl="0" affectsHdl="1" /> <parameter - name="AUTO_CLK_0_CLOCK_RATE" + name="AUTO_CLK_50M_CLOCK_RATE" type="Long" defaultValue="-1" onHdl="0" affectsHdl="1" /> <parameter - name="AUTO_CLK_0_CLOCK_DOMAIN" + name="AUTO_CLK_50M_CLOCK_DOMAIN" type="Integer" defaultValue="-1" onHdl="0" affectsHdl="1" /> <parameter - name="AUTO_CLK_0_RESET_DOMAIN" + name="AUTO_CLK_50M_RESET_DOMAIN" type="Integer" defaultValue="-1" onHdl="0" @@ -879,17 +879,17 @@ <property name="synchronousEdges" value="NONE" /> <port name="axi_reset_reset_n" direction="input" role="reset_n" width="1" /> </interface> - <interface name="clk" kind="clock" start="0"> + <interface name="clk_125m" kind="clock" start="0"> <property name="clockRate" value="125000000" /> <property name="externallyDriven" value="false" /> <property name="ptfSchematicName" value="" /> - <port name="clk_clk" direction="input" role="clk" width="1" /> + <port name="clk_125m_clk" direction="input" role="clk" width="1" /> </interface> - <interface name="clk_0" kind="clock" start="0"> + <interface name="clk_50m" kind="clock" start="0"> <property name="clockRate" value="50000000" /> <property name="externallyDriven" value="false" /> <property name="ptfSchematicName" value="" /> - <port name="clk_0_clk" direction="input" role="clk" width="1" /> + <port name="clk_50m_clk" direction="input" role="clk" width="1" /> </interface> <interface name="eth_tse_0_mac_mdio_connection" kind="conduit" start="0"> <property name="associatedClock" value="" /> @@ -980,8 +980,8 @@ width="1" /> </interface> <interface name="eth_tse_0_receive" kind="avalon_streaming" start="1"> - <property name="associatedClock" value="clk_0" /> - <property name="associatedReset" value="reset_0" /> + <property name="associatedClock" value="clk_50m" /> + <property name="associatedReset" value="reset_50m" /> <property name="beatsPerCycle" value="1" /> <property name="dataBitsPerSymbol" value="8" /> <property name="emptyWithinPacket" value="false" /> @@ -1154,8 +1154,8 @@ width="1" /> </interface> <interface name="eth_tse_0_transmit" kind="avalon_streaming" start="0"> - <property name="associatedClock" value="clk_0" /> - <property name="associatedReset" value="reset_0" /> + <property name="associatedClock" value="clk_50m" /> + <property name="associatedReset" value="reset_50m" /> <property name="beatsPerCycle" value="1" /> <property name="dataBitsPerSymbol" value="8" /> <property name="emptyWithinPacket" value="false" /> @@ -1237,15 +1237,15 @@ role="clk" width="1" /> </interface> - <interface name="reset" kind="reset" start="0"> + <interface name="reset_125m" kind="reset" start="0"> <property name="associatedClock" value="" /> <property name="synchronousEdges" value="NONE" /> - <port name="reset_reset_n" direction="input" role="reset_n" width="1" /> + <port name="reset_125m_reset_n" direction="input" role="reset_n" width="1" /> </interface> - <interface name="reset_0" kind="reset" start="0"> + <interface name="reset_50m" kind="reset" start="0"> <property name="associatedClock" value="" /> <property name="synchronousEdges" value="NONE" /> - <port name="reset_0_reset_n" direction="input" role="reset_n" width="1" /> + <port name="reset_50m_reset_n" direction="input" role="reset_n" width="1" /> </interface> <interface name="xcvr_atx_pll_a10_0_pll_cal_busy" kind="conduit" start="0"> <property name="associatedClock" value="" /> @@ -1287,29 +1287,29 @@ </interface> </perimeter> <entity kind="arria10_hps" version="1.0" name="arria10_hps"> - <parameter name="AUTO_CLK_CLOCK_RATE" value="-1" /> <parameter name="AUTO_A10_HPS_F2H_IRQ0_INTERRUPTS_USED" value="-1" /> <parameter name="AUTO_A10_HPS_H2F_LW_AXI_MASTER_ADDRESS_MAP" value="" /> - <parameter name="AUTO_GENERATION_ID" value="1743523901" /> + <parameter name="AUTO_GENERATION_ID" value="1743599232" /> <parameter name="AUTO_AXI_CLK_CLOCK_RATE" value="-1" /> + <parameter name="AUTO_CLK_125M_CLOCK_RATE" value="-1" /> <parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" /> - <parameter name="AUTO_CLK_0_CLOCK_DOMAIN" value="-1" /> - <parameter name="AUTO_CLK_0_CLOCK_RATE" value="-1" /> + <parameter name="AUTO_CLK_50M_CLOCK_RATE" value="-1" /> <parameter name="AUTO_A10_HPS_F2H_AXI_SLAVE_CLOCK_CLOCK_DOMAIN" value="-1" /> - <parameter name="AUTO_CLK_0_RESET_DOMAIN" value="-1" /> <parameter name="AUTO_UNIQUE_ID" value="" /> <parameter name="AUTO_A10_HPS_F2H_AXI_SLAVE_CLOCK_RESET_DOMAIN" value="-1" /> <parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" /> + <parameter name="AUTO_CLK_50M_RESET_DOMAIN" value="-1" /> + <parameter name="AUTO_CLK_50M_CLOCK_DOMAIN" value="-1" /> <parameter name="AUTO_DEVICE" value="10AS066N3F40I2LG" /> - <parameter name="AUTO_CLK_RESET_DOMAIN" value="-1" /> <parameter name="AUTO_A10_HPS_F2H_AXI_SLAVE_CLOCK_CLOCK_RATE" value="-1" /> <parameter name="AUTO_A10_HPS_H2F_LW_AXI_MASTER_ADDRESS_WIDTH" value="AddressWidth = -1" /> - <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="-1" /> <parameter name="AUTO_AXI_CLK_RESET_DOMAIN" value="-1" /> + <parameter name="AUTO_CLK_125M_CLOCK_DOMAIN" value="-1" /> <parameter name="AUTO_A10_HPS_F2H_IRQ1_INTERRUPTS_USED" value="-1" /> <parameter name="AUTO_AXI_CLK_CLOCK_DOMAIN" value="-1" /> + <parameter name="AUTO_CLK_125M_RESET_DOMAIN" value="-1" /> <generatedFiles> <file path="/prj_eth/vshapova/miars-fpga/quartus/qsys/arria10_hps/synth/arria10_hps.v" @@ -1330,12 +1330,30 @@ <file path="/prj_eth/vshapova/miars-fpga/quartus/qsys/arria10_hps.qsys" /> </sourceFiles> <childSourceFiles> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/altera_eth_tse/altera_eth_tse_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_nf_phyip_terminator/altera_eth_tse_nf_phyip_terminator_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_avalon_arbiter/altera_eth_tse_avalon_arbiter_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_pcs_pma_nf_phyip/altera_eth_tse_pcs_pma_nf_phyip_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_mac/altera_eth_tse_mac_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/alt_xcvr/altera_xcvr_native_phy/altera_xcvr_native_vi/tcl/altera_xcvr_native_a10_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_burst_adapter/altera_merlin_burst_adapter_hw.tcl" /> <file @@ -1343,33 +1361,29 @@ <file path="/tools/intel/quartus/standard/22.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser.v" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_width_adapter/altera_merlin_width_adapter_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_axi_master_ni/altera_merlin_axi_master_ni_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_width_adapter/altera_merlin_width_adapter_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_axi_master_ni/altera_merlin_axi_master_ni_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/alt_xcvr/altera_xcvr_pll/altera_xcvr_atx_pll_vi/tcl/altera_xcvr_atx_pll_a10_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/hps/altera_hps_arria10_hw.tcl" /> <file @@ -1436,6 +1450,8 @@ path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" /> <file path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/jacl1.3.2a.jar" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/hps_io/altera_hps_arria10_io_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/interface_generator/altera_arria10_interface_generator_hw.tcl" /> <file @@ -1502,8 +1518,6 @@ path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" /> <file path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/jacl1.3.2a.jar" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/hps_io/altera_hps_arria10_io_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/interface_generator/altera_arria10_interface_generator_hw.tcl" /> <file @@ -1570,57 +1584,15 @@ path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" /> <file path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/jacl1.3.2a.jar" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/altera_eth_tse/altera_eth_tse_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_nf_phyip_terminator/altera_eth_tse_nf_phyip_terminator_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/alt_xcvr/altera_xcvr_native_phy/altera_xcvr_native_vi/tcl/altera_xcvr_native_a10_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_avalon_arbiter/altera_eth_tse_avalon_arbiter_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_pcs_pma_nf_phyip/altera_eth_tse_pcs_pma_nf_phyip_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_mac/altera_eth_tse_mac_hw.tcl" /> </childSourceFiles> <messages> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_reset_controller"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_mm_interconnect_221_fg5byai"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_translator"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_burst_adapter"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_avalon_st_handshake_clock_crosser"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_width_adapter"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_axi_master_ni"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_router_221_qfjs35a"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_router_221_xe243si"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_multiplexer_221_vzucqyy"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_avalon_sc_fifo"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_avalon_st_adapter_221_36tuu3a"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_error_adapter_221_ljusu3a"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_agent"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_multiplexer_221_huj2kiy"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_72yhala"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga"</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./twentynm_xcvr_avmm.sv SYSTEM_VERILOG PATH ../../../alt_xcvr_core/nf/twentynm_xcvr_avmm.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_resync.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_resync.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_arbiter.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_arbiter.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./a10_avmm_h.sv SYSTEM_VERILOG PATH ../../../altera_xcvr_native_phy/altera_xcvr_native_vi/a10_avmm_h.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./altera_xcvr_native_a10_functions_h.sv SYSTEM_VERILOG PATH ../../../altera_xcvr_native_phy/altera_xcvr_native_vi/altera_xcvr_native_a10_functions_h.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_atx_pll_rcfg_arb.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_atx_pll_rcfg_arb.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./a10_xcvr_atx_pll.sv SYSTEM_VERILOG PATH ../source/a10_xcvr_atx_pll.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_pll_embedded_debug.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_pll_embedded_debug.sv</message> - <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_pll_avmm_csr.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_pll_avmm_csr.sv</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_hps_221_ejcddfq"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_interface_generator_140_m6uxvxa"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_hps_io_221_7htij7i"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_interface_generator_140_26qouiq"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_eth_tse_221_2txhhaq"</message> <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_nf_phyip_terminator"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_avalon_arbiter"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_pcs_pma_nf_phyip"</message> <message level="Info" culprit="arria10_hps">"Generating: altera_reset_controller"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_mac"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_xcvr_native_a10_221_sfv7jkq"</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./alt_xcvr_resync.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_resync.sv</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./alt_xcvr_arbiter.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_arbiter.sv</message> @@ -1643,9 +1615,37 @@ <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./pcie_mgmt_cpu.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/pcie_mgmt_cpu.sv</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./pcie_mgmt_master.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/pcie_mgmt_master.sv</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./altera_xcvr_native_pcie_dfe_ip.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/altera_xcvr_native_pcie_dfe_ip.sv</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_avalon_arbiter"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_pcs_pma_nf_phyip"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_mac"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_mm_interconnect_221_fg5byai"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_72yhala"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_router_221_xe243si"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_avalon_sc_fifo"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_burst_adapter"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_avalon_st_handshake_clock_crosser"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_agent"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_width_adapter"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_router_221_qfjs35a"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_translator"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_multiplexer_221_vzucqyy"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_multiplexer_221_huj2kiy"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_axi_master_ni"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_avalon_st_adapter_221_36tuu3a"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_error_adapter_221_ljusu3a"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga"</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./twentynm_xcvr_avmm.sv SYSTEM_VERILOG PATH ../../../alt_xcvr_core/nf/twentynm_xcvr_avmm.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_resync.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_resync.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_arbiter.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_arbiter.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./a10_avmm_h.sv SYSTEM_VERILOG PATH ../../../altera_xcvr_native_phy/altera_xcvr_native_vi/a10_avmm_h.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./altera_xcvr_native_a10_functions_h.sv SYSTEM_VERILOG PATH ../../../altera_xcvr_native_phy/altera_xcvr_native_vi/altera_xcvr_native_a10_functions_h.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_atx_pll_rcfg_arb.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_atx_pll_rcfg_arb.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./a10_xcvr_atx_pll.sv SYSTEM_VERILOG PATH ../source/a10_xcvr_atx_pll.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_pll_embedded_debug.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_pll_embedded_debug.sv</message> + <message level="Info" culprit="xcvr_atx_pll_a10_0">add_fileset_file ./alt_xcvr_pll_avmm_csr.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_pll_avmm_csr.sv</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_reset_controller"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_hps_221_ejcddfq"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_hps_io_221_7htij7i"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_interface_generator_140_26qouiq"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_interface_generator_140_m6uxvxa"</message> </messages> </entity> <entity @@ -2013,6 +2013,8 @@ path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/jacl1.3.2a.jar" /> </sourceFiles> <childSourceFiles> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/hps_io/altera_hps_arria10_io_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/interface_generator/altera_arria10_interface_generator_hw.tcl" /> <file @@ -2079,8 +2081,6 @@ path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" /> <file path="/tools/intel/quartus/standard/22.1/quartus/sopc_builder/model/lib/jacl1.3.2a.jar" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/hps_io/altera_hps_arria10_io_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/altera_hps/altera_hps_arria_10/interface_generator/altera_arria10_interface_generator_hw.tcl" /> <file @@ -2151,9 +2151,9 @@ <instantiator instantiator="arria10_hps" as="a10_hps" /> <messages> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_hps_221_ejcddfq"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_interface_generator_140_m6uxvxa"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_hps_io_221_7htij7i"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_interface_generator_140_26qouiq"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_arria10_interface_generator_140_m6uxvxa"</message> </messages> </entity> <entity @@ -2243,22 +2243,25 @@ <childSourceFiles> <file path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_nf_phyip_terminator/altera_eth_tse_nf_phyip_terminator_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/alt_xcvr/altera_xcvr_native_phy/altera_xcvr_native_vi/tcl/altera_xcvr_native_a10_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_avalon_arbiter/altera_eth_tse_avalon_arbiter_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_pcs_pma_nf_phyip/altera_eth_tse_pcs_pma_nf_phyip_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/ethernet/tse_ucores/altera_eth_tse_mac/altera_eth_tse_mac_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/alt_xcvr/altera_xcvr_native_phy/altera_xcvr_native_vi/tcl/altera_xcvr_native_a10_hw.tcl" /> </childSourceFiles> <instantiator instantiator="arria10_hps" as="eth_tse_0" /> <messages> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_eth_tse_221_2txhhaq"</message> <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_nf_phyip_terminator"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_avalon_arbiter"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_pcs_pma_nf_phyip"</message> <message level="Info" culprit="arria10_hps">"Generating: altera_reset_controller"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_mac"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_xcvr_native_a10_221_sfv7jkq"</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./alt_xcvr_resync.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_resync.sv</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./alt_xcvr_arbiter.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_arbiter.sv</message> @@ -2281,9 +2284,6 @@ <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./pcie_mgmt_cpu.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/pcie_mgmt_cpu.sv</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./pcie_mgmt_master.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/pcie_mgmt_master.sv</message> <message level="Info" culprit="i_nf_native_phyip_0">add_fileset_file ./altera_xcvr_native_pcie_dfe_ip.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/altera_xcvr_native_pcie_dfe_ip.sv</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_avalon_arbiter"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_pcs_pma_nf_phyip"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_eth_tse_mac"</message> </messages> </entity> <entity @@ -2780,7 +2780,13 @@ </sourceFiles> <childSourceFiles> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + <file + path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_burst_adapter/altera_merlin_burst_adapter_hw.tcl" /> <file @@ -2788,50 +2794,44 @@ <file path="/tools/intel/quartus/standard/22.1/ip/altera/avalon_st/altera_avalon_st_handshake_clock_crosser/altera_avalon_st_handshake_clock_crosser.v" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_width_adapter/altera_merlin_width_adapter_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_axi_master_ni/altera_merlin_axi_master_ni_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_width_adapter/altera_merlin_width_adapter_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_axi_master_ni/altera_merlin_axi_master_ni_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> - <file - path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> <file path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> </childSourceFiles> <instantiator instantiator="arria10_hps" as="mm_interconnect_0" /> <messages> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_mm_interconnect_221_fg5byai"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_translator"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_72yhala"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_router_221_xe243si"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_avalon_sc_fifo"</message> <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_burst_adapter"</message> <message level="Info" culprit="arria10_hps">"Generating: altera_avalon_st_handshake_clock_crosser"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_agent"</message> <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_width_adapter"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_axi_master_ni"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_router_221_qfjs35a"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_router_221_xe243si"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_translator"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_multiplexer_221_vzucqyy"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_avalon_sc_fifo"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_multiplexer_221_huj2kiy"</message> + <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_axi_master_ni"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_avalon_st_adapter_221_36tuu3a"</message> <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_error_adapter_221_ljusu3a"</message> - <message level="Info" culprit="arria10_hps">"Generating: altera_merlin_slave_agent"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_multiplexer_221_huj2kiy"</message> - <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_72yhala"</message> + <message level="Info" culprit="arria10_hps">"Generating: arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy"</message> </messages> </entity> <entity @@ -2865,10 +2865,10 @@ path="/tools/intel/quartus/standard/22.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> </sourceFiles> <childSourceFiles/> + <instantiator instantiator="arria10_hps" as="rst_controller,rst_controller_001" /> <instantiator instantiator="arria10_hps_altera_eth_tse_221_2txhhaq" as="rst_controller,rst_controller_001" /> - <instantiator instantiator="arria10_hps" as="rst_controller,rst_controller_001" /> <messages> <message level="Info" culprit="arria10_hps">"Generating: altera_reset_controller"</message> </messages> diff --git a/quartus/qsys/arria10_hps/arria10_hps_bb.v b/quartus/qsys/arria10_hps/arria10_hps_bb.v index 05859dabd8990225669f7ba55ab7e87300aeba20..80feb28a6be16333e350da83f4bbbf58c4d343c3 100644 --- a/quartus/qsys/arria10_hps/arria10_hps_bb.v +++ b/quartus/qsys/arria10_hps/arria10_hps_bb.v @@ -136,12 +136,39 @@ module arria10_hps ( a10_hps_io_hps_io_phery_i2c1_SCL, axi_clk_clk, axi_reset_reset_n, - clk_clk, - clk_0_clk, + clk_125m_clk, + clk_50m_clk, eth_tse_0_mac_mdio_connection_mdc, eth_tse_0_mac_mdio_connection_mdio_in, eth_tse_0_mac_mdio_connection_mdio_out, eth_tse_0_mac_mdio_connection_mdio_oen, + eth_tse_0_mac_misc_connection_magic_wakeup, + eth_tse_0_mac_misc_connection_magic_sleep_n, + eth_tse_0_mac_misc_connection_ff_tx_crc_fwd, + eth_tse_0_mac_misc_connection_ff_tx_septy, + eth_tse_0_mac_misc_connection_tx_ff_uflow, + eth_tse_0_mac_misc_connection_ff_tx_a_full, + eth_tse_0_mac_misc_connection_ff_tx_a_empty, + eth_tse_0_mac_misc_connection_rx_err_stat, + eth_tse_0_mac_misc_connection_rx_frm_type, + eth_tse_0_mac_misc_connection_ff_rx_dsav, + eth_tse_0_mac_misc_connection_ff_rx_a_full, + eth_tse_0_mac_misc_connection_ff_rx_a_empty, + eth_tse_0_receive_data, + eth_tse_0_receive_endofpacket, + eth_tse_0_receive_error, + eth_tse_0_receive_empty, + eth_tse_0_receive_ready, + eth_tse_0_receive_startofpacket, + eth_tse_0_receive_valid, + eth_tse_0_rx_analogreset_rx_analogreset, + eth_tse_0_rx_cal_busy_rx_cal_busy, + eth_tse_0_rx_digitalreset_rx_digitalreset, + eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata, + eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref, + eth_tse_0_rx_set_locktodata_rx_set_locktodata, + eth_tse_0_rx_set_locktoref_rx_set_locktoref, + eth_tse_0_serdes_control_connection_export, eth_tse_0_serial_connection_rxp, eth_tse_0_serial_connection_txp, eth_tse_0_status_led_connection_crs, @@ -151,15 +178,6 @@ module arria10_hps ( eth_tse_0_status_led_connection_an, eth_tse_0_status_led_connection_char_err, eth_tse_0_status_led_connection_disp_err, - reset_reset_n, - reset_0_reset_n, - eth_tse_0_receive_data, - eth_tse_0_receive_endofpacket, - eth_tse_0_receive_error, - eth_tse_0_receive_empty, - eth_tse_0_receive_ready, - eth_tse_0_receive_startofpacket, - eth_tse_0_receive_valid, eth_tse_0_transmit_data, eth_tse_0_transmit_endofpacket, eth_tse_0_transmit_error, @@ -167,34 +185,16 @@ module arria10_hps ( eth_tse_0_transmit_ready, eth_tse_0_transmit_startofpacket, eth_tse_0_transmit_valid, - eth_tse_0_mac_misc_connection_magic_wakeup, - eth_tse_0_mac_misc_connection_magic_sleep_n, - eth_tse_0_mac_misc_connection_ff_tx_crc_fwd, - eth_tse_0_mac_misc_connection_ff_tx_septy, - eth_tse_0_mac_misc_connection_tx_ff_uflow, - eth_tse_0_mac_misc_connection_ff_tx_a_full, - eth_tse_0_mac_misc_connection_ff_tx_a_empty, - eth_tse_0_mac_misc_connection_rx_err_stat, - eth_tse_0_mac_misc_connection_rx_frm_type, - eth_tse_0_mac_misc_connection_ff_rx_dsav, - eth_tse_0_mac_misc_connection_ff_rx_a_full, - eth_tse_0_mac_misc_connection_ff_rx_a_empty, - eth_tse_0_serdes_control_connection_export, - eth_tse_0_rx_analogreset_rx_analogreset, - eth_tse_0_tx_digitalreset_tx_digitalreset, eth_tse_0_tx_analogreset_tx_analogreset, - eth_tse_0_tx_serial_clk_clk, - eth_tse_0_rx_digitalreset_rx_digitalreset, eth_tse_0_tx_cal_busy_tx_cal_busy, - eth_tse_0_rx_cal_busy_rx_cal_busy, - eth_tse_0_rx_set_locktodata_rx_set_locktodata, - eth_tse_0_rx_set_locktoref_rx_set_locktoref, - eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref, - eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata, - xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown, - xcvr_atx_pll_a10_0_tx_serial_clk_clk, + eth_tse_0_tx_digitalreset_tx_digitalreset, + eth_tse_0_tx_serial_clk_clk, + reset_125m_reset_n, + reset_50m_reset_n, + xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy, xcvr_atx_pll_a10_0_pll_locked_pll_locked, - xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy); + xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown, + xcvr_atx_pll_a10_0_tx_serial_clk_clk); input [4095:0] a10_hps_emif_emif_to_hps; output [4095:0] a10_hps_emif_hps_to_emif; @@ -332,12 +332,39 @@ module arria10_hps ( inout a10_hps_io_hps_io_phery_i2c1_SCL; input axi_clk_clk; input axi_reset_reset_n; - input clk_clk; - input clk_0_clk; + input clk_125m_clk; + input clk_50m_clk; output eth_tse_0_mac_mdio_connection_mdc; input eth_tse_0_mac_mdio_connection_mdio_in; output eth_tse_0_mac_mdio_connection_mdio_out; output eth_tse_0_mac_mdio_connection_mdio_oen; + output eth_tse_0_mac_misc_connection_magic_wakeup; + input eth_tse_0_mac_misc_connection_magic_sleep_n; + input eth_tse_0_mac_misc_connection_ff_tx_crc_fwd; + output eth_tse_0_mac_misc_connection_ff_tx_septy; + output eth_tse_0_mac_misc_connection_tx_ff_uflow; + output eth_tse_0_mac_misc_connection_ff_tx_a_full; + output eth_tse_0_mac_misc_connection_ff_tx_a_empty; + output [17:0] eth_tse_0_mac_misc_connection_rx_err_stat; + output [3:0] eth_tse_0_mac_misc_connection_rx_frm_type; + output eth_tse_0_mac_misc_connection_ff_rx_dsav; + output eth_tse_0_mac_misc_connection_ff_rx_a_full; + output eth_tse_0_mac_misc_connection_ff_rx_a_empty; + output [31:0] eth_tse_0_receive_data; + output eth_tse_0_receive_endofpacket; + output [5:0] eth_tse_0_receive_error; + output [1:0] eth_tse_0_receive_empty; + input eth_tse_0_receive_ready; + output eth_tse_0_receive_startofpacket; + output eth_tse_0_receive_valid; + input [0:0] eth_tse_0_rx_analogreset_rx_analogreset; + output [0:0] eth_tse_0_rx_cal_busy_rx_cal_busy; + input [0:0] eth_tse_0_rx_digitalreset_rx_digitalreset; + output [0:0] eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata; + output [0:0] eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref; + input [0:0] eth_tse_0_rx_set_locktodata_rx_set_locktodata; + input [0:0] eth_tse_0_rx_set_locktoref_rx_set_locktoref; + output eth_tse_0_serdes_control_connection_export; input eth_tse_0_serial_connection_rxp; output eth_tse_0_serial_connection_txp; output eth_tse_0_status_led_connection_crs; @@ -347,15 +374,6 @@ module arria10_hps ( output eth_tse_0_status_led_connection_an; output eth_tse_0_status_led_connection_char_err; output eth_tse_0_status_led_connection_disp_err; - input reset_reset_n; - input reset_0_reset_n; - output [31:0] eth_tse_0_receive_data; - output eth_tse_0_receive_endofpacket; - output [5:0] eth_tse_0_receive_error; - output [1:0] eth_tse_0_receive_empty; - input eth_tse_0_receive_ready; - output eth_tse_0_receive_startofpacket; - output eth_tse_0_receive_valid; input [31:0] eth_tse_0_transmit_data; input eth_tse_0_transmit_endofpacket; input eth_tse_0_transmit_error; @@ -363,32 +381,14 @@ module arria10_hps ( output eth_tse_0_transmit_ready; input eth_tse_0_transmit_startofpacket; input eth_tse_0_transmit_valid; - output eth_tse_0_mac_misc_connection_magic_wakeup; - input eth_tse_0_mac_misc_connection_magic_sleep_n; - input eth_tse_0_mac_misc_connection_ff_tx_crc_fwd; - output eth_tse_0_mac_misc_connection_ff_tx_septy; - output eth_tse_0_mac_misc_connection_tx_ff_uflow; - output eth_tse_0_mac_misc_connection_ff_tx_a_full; - output eth_tse_0_mac_misc_connection_ff_tx_a_empty; - output [17:0] eth_tse_0_mac_misc_connection_rx_err_stat; - output [3:0] eth_tse_0_mac_misc_connection_rx_frm_type; - output eth_tse_0_mac_misc_connection_ff_rx_dsav; - output eth_tse_0_mac_misc_connection_ff_rx_a_full; - output eth_tse_0_mac_misc_connection_ff_rx_a_empty; - output eth_tse_0_serdes_control_connection_export; - input [0:0] eth_tse_0_rx_analogreset_rx_analogreset; - input [0:0] eth_tse_0_tx_digitalreset_tx_digitalreset; input [0:0] eth_tse_0_tx_analogreset_tx_analogreset; - input [0:0] eth_tse_0_tx_serial_clk_clk; - input [0:0] eth_tse_0_rx_digitalreset_rx_digitalreset; output [0:0] eth_tse_0_tx_cal_busy_tx_cal_busy; - output [0:0] eth_tse_0_rx_cal_busy_rx_cal_busy; - input [0:0] eth_tse_0_rx_set_locktodata_rx_set_locktodata; - input [0:0] eth_tse_0_rx_set_locktoref_rx_set_locktoref; - output [0:0] eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref; - output [0:0] eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata; + input [0:0] eth_tse_0_tx_digitalreset_tx_digitalreset; + input [0:0] eth_tse_0_tx_serial_clk_clk; + input reset_125m_reset_n; + input reset_50m_reset_n; + output xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy; + output xcvr_atx_pll_a10_0_pll_locked_pll_locked; input xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown; output xcvr_atx_pll_a10_0_tx_serial_clk_clk; - output xcvr_atx_pll_a10_0_pll_locked_pll_locked; - output xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy; endmodule diff --git a/quartus/qsys/arria10_hps/arria10_hps_generation.rpt b/quartus/qsys/arria10_hps/arria10_hps_generation.rpt index e1a9758f3af344e1d4219ec5d569797bb2d25162..db261e10f41b0aad6fec287c21166f7414f472a7 100644 --- a/quartus/qsys/arria10_hps/arria10_hps_generation.rpt +++ b/quartus/qsys/arria10_hps/arria10_hps_generation.rpt @@ -55,7 +55,7 @@ Info: arria10_hps: Running transform generation_view_transform Info: arria10_hps: Running transform generation_view_transform took 0.000s Info: a10_hps: Running transform generation_view_transform Info: a10_hps: HPS NOC (L3 clock) is set to 200 MHz When the Max Speed for this part is 400 MHz. -Info: a10_hps: Running transform generation_view_transform took 0.524s +Info: a10_hps: Running transform generation_view_transform took 0.465s Info: eth_tse_0: Running transform generation_view_transform Info: eth_tse_0: Running transform generation_view_transform took 0.000s Info: xcvr_atx_pll_a10_0: Running transform generation_view_transform @@ -91,18 +91,18 @@ Info: Inserting clock-crossing logic between cmd_demux.src0 and cmd_mux.sink0 Info: Inserting clock-crossing logic between cmd_demux_001.src0 and cmd_mux.sink1 Info: Inserting clock-crossing logic between rsp_demux.src0 and rsp_mux.sink0 Info: Inserting clock-crossing logic between rsp_demux.src1 and rsp_mux_001.sink0 -Info: arria10_hps: Running transform merlin_avalon_transform took 0.617s +Info: arria10_hps: Running transform merlin_avalon_transform took 0.620s Info: a10_hps: Running transform merlin_avalon_transform Info: a10_hps: Running transform merlin_avalon_transform took 0.008s Info: eth_tse_0: Running transform merlin_avalon_transform -Info: eth_tse_0: Running transform merlin_avalon_transform took 0.066s +Info: eth_tse_0: Running transform merlin_avalon_transform took 0.076s Info: mm_interconnect_0: Running transform merlin_avalon_transform Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 -Info: mm_interconnect_0: Running transform merlin_avalon_transform took 0.131s +Info: mm_interconnect_0: Running transform merlin_avalon_transform took 0.118s Info: hps_io: Running transform merlin_avalon_transform Info: hps_io: Running transform merlin_avalon_transform took 0.008s Info: avalon_st_adapter: Running transform merlin_avalon_transform -Info: avalon_st_adapter: Running transform merlin_avalon_transform took 0.008s +Info: avalon_st_adapter: Running transform merlin_avalon_transform took 0.009s Info: arria10_hps: "Naming system components in system: arria10_hps" Info: arria10_hps: "Processing generation queue" Info: arria10_hps: "Generating: arria10_hps" diff --git a/quartus/qsys/arria10_hps/arria10_hps_generation_previous.rpt b/quartus/qsys/arria10_hps/arria10_hps_generation_previous.rpt index 9cbcdbc3b5697ec87937632a45facdfab49786b7..e1a9758f3af344e1d4219ec5d569797bb2d25162 100644 --- a/quartus/qsys/arria10_hps/arria10_hps_generation_previous.rpt +++ b/quartus/qsys/arria10_hps/arria10_hps_generation_previous.rpt @@ -6,8 +6,15 @@ Progress: Adding a10_hps [altera_arria10_hps 22.1] Progress: Parameterizing module a10_hps Progress: Adding clk_0 [clock_source 22.1] Progress: Parameterizing module clk_0 +Progress: Adding clk_125m [clock_source 22.1] +Progress: Parameterizing module clk_125m +Progress: Adding clk_50m [clock_source 22.1] +Progress: Parameterizing module clk_50m Progress: Adding eth_tse_0 [altera_eth_tse 22.1] Progress: Parameterizing module eth_tse_0 +Progress: Adding xcvr_atx_pll_a10_0 [altera_xcvr_atx_pll_a10 22.1] +Info: altera_xcvr_atx_pll_a10: NOTE: Modified mcgb tcl package that enables ATX PLL Sharing +Progress: Parameterizing module xcvr_atx_pll_a10_0 Progress: Building connections Progress: Parameterizing connections Progress: Validating @@ -15,22 +22,7 @@ Progress: Done reading input file Info: arria10_hps.a10_hps: HPS NOC (L3 clock) is set to 200 MHz When the Max Speed for this part is 400 MHz. Info: arria10_hps.eth_tse_0: MII/GMII is automatically selected in 10/100/1000 Mb Ethernet MAC with 1000BASE-X/SGMII PCS core variations Info: arria10_hps.eth_tse_0: RGMII is not supported for Arria 10 devices. -Warning: arria10_hps.eth_tse_0.receive: eth_tse_0.receive must be connected to an Avalon-ST sink -Warning: arria10_hps.eth_tse_0.transmit: eth_tse_0.transmit must be connected to an Avalon-ST source -Warning: arria10_hps.eth_tse_0: eth_tse_0.mac_misc_connection must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.status_led_connection must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.serdes_control_connection must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.tx_analogreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.tx_digitalreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_analogreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_digitalreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.tx_cal_busy must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_cal_busy must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_set_locktodata must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_set_locktoref must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_is_lockedtoref must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_is_lockedtodata must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.reconfig_avmm must be connected to an Avalon-MM master +Info: arria10_hps.xcvr_atx_pll_a10_0: For the selected device(10AS066N3F40I2LG), PLL speed grade is 3. Info: qsys-generate succeeded. Info: Finished: Create block symbol file (.bsf) Info: @@ -42,8 +34,14 @@ Progress: Adding a10_hps [altera_arria10_hps 22.1] Progress: Parameterizing module a10_hps Progress: Adding clk_0 [clock_source 22.1] Progress: Parameterizing module clk_0 +Progress: Adding clk_125m [clock_source 22.1] +Progress: Parameterizing module clk_125m +Progress: Adding clk_50m [clock_source 22.1] +Progress: Parameterizing module clk_50m Progress: Adding eth_tse_0 [altera_eth_tse 22.1] Progress: Parameterizing module eth_tse_0 +Progress: Adding xcvr_atx_pll_a10_0 [altera_xcvr_atx_pll_a10 22.1] +Progress: Parameterizing module xcvr_atx_pll_a10_0 Progress: Building connections Progress: Parameterizing connections Progress: Validating @@ -51,30 +49,17 @@ Progress: Done reading input file Info: arria10_hps.a10_hps: HPS NOC (L3 clock) is set to 200 MHz When the Max Speed for this part is 400 MHz. Info: arria10_hps.eth_tse_0: MII/GMII is automatically selected in 10/100/1000 Mb Ethernet MAC with 1000BASE-X/SGMII PCS core variations Info: arria10_hps.eth_tse_0: RGMII is not supported for Arria 10 devices. -Warning: arria10_hps.eth_tse_0.receive: eth_tse_0.receive must be connected to an Avalon-ST sink -Warning: arria10_hps.eth_tse_0.transmit: eth_tse_0.transmit must be connected to an Avalon-ST source -Warning: arria10_hps.eth_tse_0: eth_tse_0.mac_misc_connection must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.status_led_connection must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.serdes_control_connection must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.tx_analogreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.tx_digitalreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_analogreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_digitalreset must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.tx_cal_busy must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_cal_busy must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_set_locktodata must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_set_locktoref must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_is_lockedtoref must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.rx_is_lockedtodata must be exported, or connected to a matching conduit. -Warning: arria10_hps.eth_tse_0: eth_tse_0.reconfig_avmm must be connected to an Avalon-MM master +Info: arria10_hps.xcvr_atx_pll_a10_0: For the selected device(10AS066N3F40I2LG), PLL speed grade is 3. Info: arria10_hps: "Transforming system: arria10_hps" Info: arria10_hps: Running transform generation_view_transform Info: arria10_hps: Running transform generation_view_transform took 0.000s Info: a10_hps: Running transform generation_view_transform Info: a10_hps: HPS NOC (L3 clock) is set to 200 MHz When the Max Speed for this part is 400 MHz. -Info: a10_hps: Running transform generation_view_transform took 0.455s +Info: a10_hps: Running transform generation_view_transform took 0.524s Info: eth_tse_0: Running transform generation_view_transform Info: eth_tse_0: Running transform generation_view_transform took 0.000s +Info: xcvr_atx_pll_a10_0: Running transform generation_view_transform +Info: xcvr_atx_pll_a10_0: Running transform generation_view_transform took 0.000s Info: fpga_interfaces: Running transform generation_view_transform Info: fpga_interfaces: Running transform generation_view_transform took 0.000s Info: hps_io: Running transform generation_view_transform @@ -101,14 +86,19 @@ Info: border: Running transform generation_view_transform Info: border: Running transform generation_view_transform took 0.000s Info: arria10_hps: Running transform merlin_avalon_transform Info: Interconnect is inserted between master a10_hps.h2f_axi_master and slave eth_tse_0.control_port because the master is of type axi and the slave is of type avalon. -Info: arria10_hps: Running transform merlin_avalon_transform took 0.770s +Info: Interconnect is inserted between master a10_hps.h2f_axi_master and slave eth_tse_0.control_port because they have different clock source. +Info: Inserting clock-crossing logic between cmd_demux.src0 and cmd_mux.sink0 +Info: Inserting clock-crossing logic between cmd_demux_001.src0 and cmd_mux.sink1 +Info: Inserting clock-crossing logic between rsp_demux.src0 and rsp_mux.sink0 +Info: Inserting clock-crossing logic between rsp_demux.src1 and rsp_mux_001.sink0 +Info: arria10_hps: Running transform merlin_avalon_transform took 0.617s Info: a10_hps: Running transform merlin_avalon_transform Info: a10_hps: Running transform merlin_avalon_transform took 0.008s Info: eth_tse_0: Running transform merlin_avalon_transform -Info: eth_tse_0: Running transform merlin_avalon_transform took 0.068s +Info: eth_tse_0: Running transform merlin_avalon_transform took 0.066s Info: mm_interconnect_0: Running transform merlin_avalon_transform Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 -Info: mm_interconnect_0: Running transform merlin_avalon_transform took 0.122s +Info: mm_interconnect_0: Running transform merlin_avalon_transform took 0.131s Info: hps_io: Running transform merlin_avalon_transform Info: hps_io: Running transform merlin_avalon_transform took 0.008s Info: avalon_st_adapter: Running transform merlin_avalon_transform @@ -117,15 +107,25 @@ Info: arria10_hps: "Naming system components in system: arria10_hps" Info: arria10_hps: "Processing generation queue" Info: arria10_hps: "Generating: arria10_hps" Info: arria10_hps: "Generating: arria10_hps_altera_arria10_hps_221_ejcddfq" -Info: arria10_hps: "Generating: arria10_hps_altera_eth_tse_221_izmmkjq" -Info: arria10_hps: "Generating: arria10_hps_altera_mm_interconnect_221_yw4vjdi" +Info: arria10_hps: "Generating: arria10_hps_altera_eth_tse_221_2txhhaq" +Info: arria10_hps: "Generating: arria10_hps_altera_xcvr_atx_pll_a10_221_syj5sga" +Info: xcvr_atx_pll_a10_0: add_fileset_file ./twentynm_xcvr_avmm.sv SYSTEM_VERILOG PATH ../../../alt_xcvr_core/nf/twentynm_xcvr_avmm.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./alt_xcvr_resync.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_resync.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./alt_xcvr_arbiter.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_arbiter.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./a10_avmm_h.sv SYSTEM_VERILOG PATH ../../../altera_xcvr_native_phy/altera_xcvr_native_vi/a10_avmm_h.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./altera_xcvr_native_a10_functions_h.sv SYSTEM_VERILOG PATH ../../../altera_xcvr_native_phy/altera_xcvr_native_vi/altera_xcvr_native_a10_functions_h.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./alt_xcvr_atx_pll_rcfg_arb.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_atx_pll_rcfg_arb.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./a10_xcvr_atx_pll.sv SYSTEM_VERILOG PATH ../source/a10_xcvr_atx_pll.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./alt_xcvr_pll_embedded_debug.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_pll_embedded_debug.sv +Info: xcvr_atx_pll_a10_0: add_fileset_file ./alt_xcvr_pll_avmm_csr.sv SYSTEM_VERILOG PATH ../source/alt_xcvr_pll_avmm_csr.sv +Info: arria10_hps: "Generating: arria10_hps_altera_mm_interconnect_221_fg5byai" Info: arria10_hps: "Generating: altera_reset_controller" Info: arria10_hps: "Generating: arria10_hps_altera_arria10_interface_generator_140_m6uxvxa" Info: arria10_hps: "Generating: arria10_hps_altera_arria10_hps_io_221_7htij7i" Info: arria10_hps: "Generating: altera_eth_tse_mac" Info: arria10_hps: "Generating: altera_eth_tse_avalon_arbiter" Info: arria10_hps: "Generating: altera_eth_tse_pcs_pma_nf_phyip" -Info: arria10_hps: "Generating: arria10_hps_altera_xcvr_native_a10_221_iq5an3y" +Info: arria10_hps: "Generating: arria10_hps_altera_xcvr_native_a10_221_sfv7jkq" Info: i_nf_native_phyip_0: add_fileset_file ./alt_xcvr_resync.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_resync.sv Info: i_nf_native_phyip_0: add_fileset_file ./alt_xcvr_arbiter.sv SYSTEM_VERILOG PATH ../../../../altera_xcvr_generic/ctrl/alt_xcvr_arbiter.sv Info: i_nf_native_phyip_0: add_fileset_file ./twentynm_pcs.sv SYSTEM_VERILOG PATH ../../../alt_xcvr_core/nf/twentynm_pcs.sv @@ -147,7 +147,6 @@ Info: i_nf_native_phyip_0: add_fileset_file ./pcie_mgmt_program.sv SYSTEM_VERILO Info: i_nf_native_phyip_0: add_fileset_file ./pcie_mgmt_cpu.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/pcie_mgmt_cpu.sv Info: i_nf_native_phyip_0: add_fileset_file ./pcie_mgmt_master.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/pcie_mgmt_master.sv Info: i_nf_native_phyip_0: add_fileset_file ./altera_xcvr_native_pcie_dfe_ip.sv SYSTEM_VERILOG PATH ../pcie_dfe_ip/altera_xcvr_native_pcie_dfe_ip.sv -Info: i_nf_native_phyip_0: Building configuration data for reconfiguration profile 0 Info: arria10_hps: "Generating: altera_eth_tse_nf_phyip_terminator" Info: arria10_hps: "Generating: altera_merlin_slave_translator" Info: arria10_hps: "Generating: altera_merlin_axi_master_ni" @@ -158,12 +157,13 @@ Info: arria10_hps: "Generating: arria10_hps_altera_merlin_router_221_xe243si" Info: arria10_hps: "Generating: altera_merlin_burst_adapter" Info: arria10_hps: "Generating: arria10_hps_altera_merlin_demultiplexer_221_72yhala" Info: arria10_hps: "Generating: arria10_hps_altera_merlin_multiplexer_221_vzucqyy" -Info: arria10_hps: "Generating: arria10_hps_altera_merlin_demultiplexer_221_e3m23ka" +Info: arria10_hps: "Generating: arria10_hps_altera_merlin_demultiplexer_221_yx3jwsy" Info: arria10_hps: "Generating: arria10_hps_altera_merlin_multiplexer_221_huj2kiy" Info: arria10_hps: "Generating: altera_merlin_width_adapter" +Info: arria10_hps: "Generating: altera_avalon_st_handshake_clock_crosser" Info: arria10_hps: "Generating: arria10_hps_altera_avalon_st_adapter_221_36tuu3a" Info: arria10_hps: "Generating: arria10_hps_altera_arria10_interface_generator_140_26qouiq" Info: arria10_hps: "Generating: arria10_hps_error_adapter_221_ljusu3a" -Info: arria10_hps: Done "arria10_hps" with 27 modules, 272 files +Info: arria10_hps: Done "arria10_hps" with 29 modules, 290 files Info: qsys-generate succeeded. Info: Finished: Create HDL design files for synthesis diff --git a/quartus/qsys/arria10_hps/arria10_hps_inst.v b/quartus/qsys/arria10_hps/arria10_hps_inst.v index 5707b6e2002203971e29807b92c5d086a897e3e9..c6a216bf6ef22b8dcea31c0bc54ae40e1c955c41 100644 --- a/quartus/qsys/arria10_hps/arria10_hps_inst.v +++ b/quartus/qsys/arria10_hps/arria10_hps_inst.v @@ -135,12 +135,39 @@ .a10_hps_io_hps_io_phery_i2c1_SCL (<connected-to-a10_hps_io_hps_io_phery_i2c1_SCL>), // .hps_io_phery_i2c1_SCL .axi_clk_clk (<connected-to-axi_clk_clk>), // axi_clk.clk .axi_reset_reset_n (<connected-to-axi_reset_reset_n>), // axi_reset.reset_n - .clk_clk (<connected-to-clk_clk>), // clk.clk - .clk_0_clk (<connected-to-clk_0_clk>), // clk_0.clk + .clk_125m_clk (<connected-to-clk_125m_clk>), // clk_125m.clk + .clk_50m_clk (<connected-to-clk_50m_clk>), // clk_50m.clk .eth_tse_0_mac_mdio_connection_mdc (<connected-to-eth_tse_0_mac_mdio_connection_mdc>), // eth_tse_0_mac_mdio_connection.mdc .eth_tse_0_mac_mdio_connection_mdio_in (<connected-to-eth_tse_0_mac_mdio_connection_mdio_in>), // .mdio_in .eth_tse_0_mac_mdio_connection_mdio_out (<connected-to-eth_tse_0_mac_mdio_connection_mdio_out>), // .mdio_out .eth_tse_0_mac_mdio_connection_mdio_oen (<connected-to-eth_tse_0_mac_mdio_connection_mdio_oen>), // .mdio_oen + .eth_tse_0_mac_misc_connection_magic_wakeup (<connected-to-eth_tse_0_mac_misc_connection_magic_wakeup>), // eth_tse_0_mac_misc_connection.magic_wakeup + .eth_tse_0_mac_misc_connection_magic_sleep_n (<connected-to-eth_tse_0_mac_misc_connection_magic_sleep_n>), // .magic_sleep_n + .eth_tse_0_mac_misc_connection_ff_tx_crc_fwd (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_crc_fwd>), // .ff_tx_crc_fwd + .eth_tse_0_mac_misc_connection_ff_tx_septy (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_septy>), // .ff_tx_septy + .eth_tse_0_mac_misc_connection_tx_ff_uflow (<connected-to-eth_tse_0_mac_misc_connection_tx_ff_uflow>), // .tx_ff_uflow + .eth_tse_0_mac_misc_connection_ff_tx_a_full (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_a_full>), // .ff_tx_a_full + .eth_tse_0_mac_misc_connection_ff_tx_a_empty (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_a_empty>), // .ff_tx_a_empty + .eth_tse_0_mac_misc_connection_rx_err_stat (<connected-to-eth_tse_0_mac_misc_connection_rx_err_stat>), // .rx_err_stat + .eth_tse_0_mac_misc_connection_rx_frm_type (<connected-to-eth_tse_0_mac_misc_connection_rx_frm_type>), // .rx_frm_type + .eth_tse_0_mac_misc_connection_ff_rx_dsav (<connected-to-eth_tse_0_mac_misc_connection_ff_rx_dsav>), // .ff_rx_dsav + .eth_tse_0_mac_misc_connection_ff_rx_a_full (<connected-to-eth_tse_0_mac_misc_connection_ff_rx_a_full>), // .ff_rx_a_full + .eth_tse_0_mac_misc_connection_ff_rx_a_empty (<connected-to-eth_tse_0_mac_misc_connection_ff_rx_a_empty>), // .ff_rx_a_empty + .eth_tse_0_receive_data (<connected-to-eth_tse_0_receive_data>), // eth_tse_0_receive.data + .eth_tse_0_receive_endofpacket (<connected-to-eth_tse_0_receive_endofpacket>), // .endofpacket + .eth_tse_0_receive_error (<connected-to-eth_tse_0_receive_error>), // .error + .eth_tse_0_receive_empty (<connected-to-eth_tse_0_receive_empty>), // .empty + .eth_tse_0_receive_ready (<connected-to-eth_tse_0_receive_ready>), // .ready + .eth_tse_0_receive_startofpacket (<connected-to-eth_tse_0_receive_startofpacket>), // .startofpacket + .eth_tse_0_receive_valid (<connected-to-eth_tse_0_receive_valid>), // .valid + .eth_tse_0_rx_analogreset_rx_analogreset (<connected-to-eth_tse_0_rx_analogreset_rx_analogreset>), // eth_tse_0_rx_analogreset.rx_analogreset + .eth_tse_0_rx_cal_busy_rx_cal_busy (<connected-to-eth_tse_0_rx_cal_busy_rx_cal_busy>), // eth_tse_0_rx_cal_busy.rx_cal_busy + .eth_tse_0_rx_digitalreset_rx_digitalreset (<connected-to-eth_tse_0_rx_digitalreset_rx_digitalreset>), // eth_tse_0_rx_digitalreset.rx_digitalreset + .eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata (<connected-to-eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata>), // eth_tse_0_rx_is_lockedtodata.rx_is_lockedtodata + .eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref (<connected-to-eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref>), // eth_tse_0_rx_is_lockedtoref.rx_is_lockedtoref + .eth_tse_0_rx_set_locktodata_rx_set_locktodata (<connected-to-eth_tse_0_rx_set_locktodata_rx_set_locktodata>), // eth_tse_0_rx_set_locktodata.rx_set_locktodata + .eth_tse_0_rx_set_locktoref_rx_set_locktoref (<connected-to-eth_tse_0_rx_set_locktoref_rx_set_locktoref>), // eth_tse_0_rx_set_locktoref.rx_set_locktoref + .eth_tse_0_serdes_control_connection_export (<connected-to-eth_tse_0_serdes_control_connection_export>), // eth_tse_0_serdes_control_connection.export .eth_tse_0_serial_connection_rxp (<connected-to-eth_tse_0_serial_connection_rxp>), // eth_tse_0_serial_connection.rxp .eth_tse_0_serial_connection_txp (<connected-to-eth_tse_0_serial_connection_txp>), // .txp .eth_tse_0_status_led_connection_crs (<connected-to-eth_tse_0_status_led_connection_crs>), // eth_tse_0_status_led_connection.crs @@ -150,15 +177,6 @@ .eth_tse_0_status_led_connection_an (<connected-to-eth_tse_0_status_led_connection_an>), // .an .eth_tse_0_status_led_connection_char_err (<connected-to-eth_tse_0_status_led_connection_char_err>), // .char_err .eth_tse_0_status_led_connection_disp_err (<connected-to-eth_tse_0_status_led_connection_disp_err>), // .disp_err - .reset_reset_n (<connected-to-reset_reset_n>), // reset.reset_n - .reset_0_reset_n (<connected-to-reset_0_reset_n>), // reset_0.reset_n - .eth_tse_0_receive_data (<connected-to-eth_tse_0_receive_data>), // eth_tse_0_receive.data - .eth_tse_0_receive_endofpacket (<connected-to-eth_tse_0_receive_endofpacket>), // .endofpacket - .eth_tse_0_receive_error (<connected-to-eth_tse_0_receive_error>), // .error - .eth_tse_0_receive_empty (<connected-to-eth_tse_0_receive_empty>), // .empty - .eth_tse_0_receive_ready (<connected-to-eth_tse_0_receive_ready>), // .ready - .eth_tse_0_receive_startofpacket (<connected-to-eth_tse_0_receive_startofpacket>), // .startofpacket - .eth_tse_0_receive_valid (<connected-to-eth_tse_0_receive_valid>), // .valid .eth_tse_0_transmit_data (<connected-to-eth_tse_0_transmit_data>), // eth_tse_0_transmit.data .eth_tse_0_transmit_endofpacket (<connected-to-eth_tse_0_transmit_endofpacket>), // .endofpacket .eth_tse_0_transmit_error (<connected-to-eth_tse_0_transmit_error>), // .error @@ -166,33 +184,15 @@ .eth_tse_0_transmit_ready (<connected-to-eth_tse_0_transmit_ready>), // .ready .eth_tse_0_transmit_startofpacket (<connected-to-eth_tse_0_transmit_startofpacket>), // .startofpacket .eth_tse_0_transmit_valid (<connected-to-eth_tse_0_transmit_valid>), // .valid - .eth_tse_0_mac_misc_connection_magic_wakeup (<connected-to-eth_tse_0_mac_misc_connection_magic_wakeup>), // eth_tse_0_mac_misc_connection.magic_wakeup - .eth_tse_0_mac_misc_connection_magic_sleep_n (<connected-to-eth_tse_0_mac_misc_connection_magic_sleep_n>), // .magic_sleep_n - .eth_tse_0_mac_misc_connection_ff_tx_crc_fwd (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_crc_fwd>), // .ff_tx_crc_fwd - .eth_tse_0_mac_misc_connection_ff_tx_septy (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_septy>), // .ff_tx_septy - .eth_tse_0_mac_misc_connection_tx_ff_uflow (<connected-to-eth_tse_0_mac_misc_connection_tx_ff_uflow>), // .tx_ff_uflow - .eth_tse_0_mac_misc_connection_ff_tx_a_full (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_a_full>), // .ff_tx_a_full - .eth_tse_0_mac_misc_connection_ff_tx_a_empty (<connected-to-eth_tse_0_mac_misc_connection_ff_tx_a_empty>), // .ff_tx_a_empty - .eth_tse_0_mac_misc_connection_rx_err_stat (<connected-to-eth_tse_0_mac_misc_connection_rx_err_stat>), // .rx_err_stat - .eth_tse_0_mac_misc_connection_rx_frm_type (<connected-to-eth_tse_0_mac_misc_connection_rx_frm_type>), // .rx_frm_type - .eth_tse_0_mac_misc_connection_ff_rx_dsav (<connected-to-eth_tse_0_mac_misc_connection_ff_rx_dsav>), // .ff_rx_dsav - .eth_tse_0_mac_misc_connection_ff_rx_a_full (<connected-to-eth_tse_0_mac_misc_connection_ff_rx_a_full>), // .ff_rx_a_full - .eth_tse_0_mac_misc_connection_ff_rx_a_empty (<connected-to-eth_tse_0_mac_misc_connection_ff_rx_a_empty>), // .ff_rx_a_empty - .eth_tse_0_serdes_control_connection_export (<connected-to-eth_tse_0_serdes_control_connection_export>), // eth_tse_0_serdes_control_connection.export - .eth_tse_0_rx_analogreset_rx_analogreset (<connected-to-eth_tse_0_rx_analogreset_rx_analogreset>), // eth_tse_0_rx_analogreset.rx_analogreset - .eth_tse_0_tx_digitalreset_tx_digitalreset (<connected-to-eth_tse_0_tx_digitalreset_tx_digitalreset>), // eth_tse_0_tx_digitalreset.tx_digitalreset .eth_tse_0_tx_analogreset_tx_analogreset (<connected-to-eth_tse_0_tx_analogreset_tx_analogreset>), // eth_tse_0_tx_analogreset.tx_analogreset - .eth_tse_0_tx_serial_clk_clk (<connected-to-eth_tse_0_tx_serial_clk_clk>), // eth_tse_0_tx_serial_clk.clk - .eth_tse_0_rx_digitalreset_rx_digitalreset (<connected-to-eth_tse_0_rx_digitalreset_rx_digitalreset>), // eth_tse_0_rx_digitalreset.rx_digitalreset .eth_tse_0_tx_cal_busy_tx_cal_busy (<connected-to-eth_tse_0_tx_cal_busy_tx_cal_busy>), // eth_tse_0_tx_cal_busy.tx_cal_busy - .eth_tse_0_rx_cal_busy_rx_cal_busy (<connected-to-eth_tse_0_rx_cal_busy_rx_cal_busy>), // eth_tse_0_rx_cal_busy.rx_cal_busy - .eth_tse_0_rx_set_locktodata_rx_set_locktodata (<connected-to-eth_tse_0_rx_set_locktodata_rx_set_locktodata>), // eth_tse_0_rx_set_locktodata.rx_set_locktodata - .eth_tse_0_rx_set_locktoref_rx_set_locktoref (<connected-to-eth_tse_0_rx_set_locktoref_rx_set_locktoref>), // eth_tse_0_rx_set_locktoref.rx_set_locktoref - .eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref (<connected-to-eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref>), // eth_tse_0_rx_is_lockedtoref.rx_is_lockedtoref - .eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata (<connected-to-eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata>), // eth_tse_0_rx_is_lockedtodata.rx_is_lockedtodata - .xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown (<connected-to-xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown>), // xcvr_atx_pll_a10_0_pll_powerdown.pll_powerdown - .xcvr_atx_pll_a10_0_tx_serial_clk_clk (<connected-to-xcvr_atx_pll_a10_0_tx_serial_clk_clk>), // xcvr_atx_pll_a10_0_tx_serial_clk.clk + .eth_tse_0_tx_digitalreset_tx_digitalreset (<connected-to-eth_tse_0_tx_digitalreset_tx_digitalreset>), // eth_tse_0_tx_digitalreset.tx_digitalreset + .eth_tse_0_tx_serial_clk_clk (<connected-to-eth_tse_0_tx_serial_clk_clk>), // eth_tse_0_tx_serial_clk.clk + .reset_125m_reset_n (<connected-to-reset_125m_reset_n>), // reset_125m.reset_n + .reset_50m_reset_n (<connected-to-reset_50m_reset_n>), // reset_50m.reset_n + .xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy (<connected-to-xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy>), // xcvr_atx_pll_a10_0_pll_cal_busy.pll_cal_busy .xcvr_atx_pll_a10_0_pll_locked_pll_locked (<connected-to-xcvr_atx_pll_a10_0_pll_locked_pll_locked>), // xcvr_atx_pll_a10_0_pll_locked.pll_locked - .xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy (<connected-to-xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy>) // xcvr_atx_pll_a10_0_pll_cal_busy.pll_cal_busy + .xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown (<connected-to-xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown>), // xcvr_atx_pll_a10_0_pll_powerdown.pll_powerdown + .xcvr_atx_pll_a10_0_tx_serial_clk_clk (<connected-to-xcvr_atx_pll_a10_0_tx_serial_clk_clk>) // xcvr_atx_pll_a10_0_tx_serial_clk.clk ); diff --git a/quartus/qsys/arria10_hps/arria10_hps_inst.vhd b/quartus/qsys/arria10_hps/arria10_hps_inst.vhd index 984f60bc5ee2238b3741fa3a6ae64ff6f9e8a5b6..6b272efce861845b5eccb34e5a14c5a2a7d3d8ee 100644 --- a/quartus/qsys/arria10_hps/arria10_hps_inst.vhd +++ b/quartus/qsys/arria10_hps/arria10_hps_inst.vhd @@ -136,12 +136,39 @@ a10_hps_io_hps_io_phery_i2c1_SCL : inout std_logic := 'X'; -- hps_io_phery_i2c1_SCL axi_clk_clk : in std_logic := 'X'; -- clk axi_reset_reset_n : in std_logic := 'X'; -- reset_n - clk_clk : in std_logic := 'X'; -- clk - clk_0_clk : in std_logic := 'X'; -- clk + clk_125m_clk : in std_logic := 'X'; -- clk + clk_50m_clk : in std_logic := 'X'; -- clk eth_tse_0_mac_mdio_connection_mdc : out std_logic; -- mdc eth_tse_0_mac_mdio_connection_mdio_in : in std_logic := 'X'; -- mdio_in eth_tse_0_mac_mdio_connection_mdio_out : out std_logic; -- mdio_out eth_tse_0_mac_mdio_connection_mdio_oen : out std_logic; -- mdio_oen + eth_tse_0_mac_misc_connection_magic_wakeup : out std_logic; -- magic_wakeup + eth_tse_0_mac_misc_connection_magic_sleep_n : in std_logic := 'X'; -- magic_sleep_n + eth_tse_0_mac_misc_connection_ff_tx_crc_fwd : in std_logic := 'X'; -- ff_tx_crc_fwd + eth_tse_0_mac_misc_connection_ff_tx_septy : out std_logic; -- ff_tx_septy + eth_tse_0_mac_misc_connection_tx_ff_uflow : out std_logic; -- tx_ff_uflow + eth_tse_0_mac_misc_connection_ff_tx_a_full : out std_logic; -- ff_tx_a_full + eth_tse_0_mac_misc_connection_ff_tx_a_empty : out std_logic; -- ff_tx_a_empty + eth_tse_0_mac_misc_connection_rx_err_stat : out std_logic_vector(17 downto 0); -- rx_err_stat + eth_tse_0_mac_misc_connection_rx_frm_type : out std_logic_vector(3 downto 0); -- rx_frm_type + eth_tse_0_mac_misc_connection_ff_rx_dsav : out std_logic; -- ff_rx_dsav + eth_tse_0_mac_misc_connection_ff_rx_a_full : out std_logic; -- ff_rx_a_full + eth_tse_0_mac_misc_connection_ff_rx_a_empty : out std_logic; -- ff_rx_a_empty + eth_tse_0_receive_data : out std_logic_vector(31 downto 0); -- data + eth_tse_0_receive_endofpacket : out std_logic; -- endofpacket + eth_tse_0_receive_error : out std_logic_vector(5 downto 0); -- error + eth_tse_0_receive_empty : out std_logic_vector(1 downto 0); -- empty + eth_tse_0_receive_ready : in std_logic := 'X'; -- ready + eth_tse_0_receive_startofpacket : out std_logic; -- startofpacket + eth_tse_0_receive_valid : out std_logic; -- valid + eth_tse_0_rx_analogreset_rx_analogreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_analogreset + eth_tse_0_rx_cal_busy_rx_cal_busy : out std_logic_vector(0 downto 0); -- rx_cal_busy + eth_tse_0_rx_digitalreset_rx_digitalreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_digitalreset + eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata : out std_logic_vector(0 downto 0); -- rx_is_lockedtodata + eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref : out std_logic_vector(0 downto 0); -- rx_is_lockedtoref + eth_tse_0_rx_set_locktodata_rx_set_locktodata : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_set_locktodata + eth_tse_0_rx_set_locktoref_rx_set_locktoref : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_set_locktoref + eth_tse_0_serdes_control_connection_export : out std_logic; -- export eth_tse_0_serial_connection_rxp : in std_logic := 'X'; -- rxp eth_tse_0_serial_connection_txp : out std_logic; -- txp eth_tse_0_status_led_connection_crs : out std_logic; -- crs @@ -151,15 +178,6 @@ eth_tse_0_status_led_connection_an : out std_logic; -- an eth_tse_0_status_led_connection_char_err : out std_logic; -- char_err eth_tse_0_status_led_connection_disp_err : out std_logic; -- disp_err - reset_reset_n : in std_logic := 'X'; -- reset_n - reset_0_reset_n : in std_logic := 'X'; -- reset_n - eth_tse_0_receive_data : out std_logic_vector(31 downto 0); -- data - eth_tse_0_receive_endofpacket : out std_logic; -- endofpacket - eth_tse_0_receive_error : out std_logic_vector(5 downto 0); -- error - eth_tse_0_receive_empty : out std_logic_vector(1 downto 0); -- empty - eth_tse_0_receive_ready : in std_logic := 'X'; -- ready - eth_tse_0_receive_startofpacket : out std_logic; -- startofpacket - eth_tse_0_receive_valid : out std_logic; -- valid eth_tse_0_transmit_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data eth_tse_0_transmit_endofpacket : in std_logic := 'X'; -- endofpacket eth_tse_0_transmit_error : in std_logic := 'X'; -- error @@ -167,34 +185,16 @@ eth_tse_0_transmit_ready : out std_logic; -- ready eth_tse_0_transmit_startofpacket : in std_logic := 'X'; -- startofpacket eth_tse_0_transmit_valid : in std_logic := 'X'; -- valid - eth_tse_0_mac_misc_connection_magic_wakeup : out std_logic; -- magic_wakeup - eth_tse_0_mac_misc_connection_magic_sleep_n : in std_logic := 'X'; -- magic_sleep_n - eth_tse_0_mac_misc_connection_ff_tx_crc_fwd : in std_logic := 'X'; -- ff_tx_crc_fwd - eth_tse_0_mac_misc_connection_ff_tx_septy : out std_logic; -- ff_tx_septy - eth_tse_0_mac_misc_connection_tx_ff_uflow : out std_logic; -- tx_ff_uflow - eth_tse_0_mac_misc_connection_ff_tx_a_full : out std_logic; -- ff_tx_a_full - eth_tse_0_mac_misc_connection_ff_tx_a_empty : out std_logic; -- ff_tx_a_empty - eth_tse_0_mac_misc_connection_rx_err_stat : out std_logic_vector(17 downto 0); -- rx_err_stat - eth_tse_0_mac_misc_connection_rx_frm_type : out std_logic_vector(3 downto 0); -- rx_frm_type - eth_tse_0_mac_misc_connection_ff_rx_dsav : out std_logic; -- ff_rx_dsav - eth_tse_0_mac_misc_connection_ff_rx_a_full : out std_logic; -- ff_rx_a_full - eth_tse_0_mac_misc_connection_ff_rx_a_empty : out std_logic; -- ff_rx_a_empty - eth_tse_0_serdes_control_connection_export : out std_logic; -- export - eth_tse_0_rx_analogreset_rx_analogreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_analogreset - eth_tse_0_tx_digitalreset_tx_digitalreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_digitalreset eth_tse_0_tx_analogreset_tx_analogreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_analogreset - eth_tse_0_tx_serial_clk_clk : in std_logic_vector(0 downto 0) := (others => 'X'); -- clk - eth_tse_0_rx_digitalreset_rx_digitalreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_digitalreset eth_tse_0_tx_cal_busy_tx_cal_busy : out std_logic_vector(0 downto 0); -- tx_cal_busy - eth_tse_0_rx_cal_busy_rx_cal_busy : out std_logic_vector(0 downto 0); -- rx_cal_busy - eth_tse_0_rx_set_locktodata_rx_set_locktodata : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_set_locktodata - eth_tse_0_rx_set_locktoref_rx_set_locktoref : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_set_locktoref - eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref : out std_logic_vector(0 downto 0); -- rx_is_lockedtoref - eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata : out std_logic_vector(0 downto 0); -- rx_is_lockedtodata - xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown : in std_logic := 'X'; -- pll_powerdown - xcvr_atx_pll_a10_0_tx_serial_clk_clk : out std_logic; -- clk + eth_tse_0_tx_digitalreset_tx_digitalreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_digitalreset + eth_tse_0_tx_serial_clk_clk : in std_logic_vector(0 downto 0) := (others => 'X'); -- clk + reset_125m_reset_n : in std_logic := 'X'; -- reset_n + reset_50m_reset_n : in std_logic := 'X'; -- reset_n + xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy : out std_logic; -- pll_cal_busy xcvr_atx_pll_a10_0_pll_locked_pll_locked : out std_logic; -- pll_locked - xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy : out std_logic -- pll_cal_busy + xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown : in std_logic := 'X'; -- pll_powerdown + xcvr_atx_pll_a10_0_tx_serial_clk_clk : out std_logic -- clk ); end component arria10_hps; @@ -336,12 +336,39 @@ a10_hps_io_hps_io_phery_i2c1_SCL => CONNECTED_TO_a10_hps_io_hps_io_phery_i2c1_SCL, -- .hps_io_phery_i2c1_SCL axi_clk_clk => CONNECTED_TO_axi_clk_clk, -- axi_clk.clk axi_reset_reset_n => CONNECTED_TO_axi_reset_reset_n, -- axi_reset.reset_n - clk_clk => CONNECTED_TO_clk_clk, -- clk.clk - clk_0_clk => CONNECTED_TO_clk_0_clk, -- clk_0.clk + clk_125m_clk => CONNECTED_TO_clk_125m_clk, -- clk_125m.clk + clk_50m_clk => CONNECTED_TO_clk_50m_clk, -- clk_50m.clk eth_tse_0_mac_mdio_connection_mdc => CONNECTED_TO_eth_tse_0_mac_mdio_connection_mdc, -- eth_tse_0_mac_mdio_connection.mdc eth_tse_0_mac_mdio_connection_mdio_in => CONNECTED_TO_eth_tse_0_mac_mdio_connection_mdio_in, -- .mdio_in eth_tse_0_mac_mdio_connection_mdio_out => CONNECTED_TO_eth_tse_0_mac_mdio_connection_mdio_out, -- .mdio_out eth_tse_0_mac_mdio_connection_mdio_oen => CONNECTED_TO_eth_tse_0_mac_mdio_connection_mdio_oen, -- .mdio_oen + eth_tse_0_mac_misc_connection_magic_wakeup => CONNECTED_TO_eth_tse_0_mac_misc_connection_magic_wakeup, -- eth_tse_0_mac_misc_connection.magic_wakeup + eth_tse_0_mac_misc_connection_magic_sleep_n => CONNECTED_TO_eth_tse_0_mac_misc_connection_magic_sleep_n, -- .magic_sleep_n + eth_tse_0_mac_misc_connection_ff_tx_crc_fwd => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_crc_fwd, -- .ff_tx_crc_fwd + eth_tse_0_mac_misc_connection_ff_tx_septy => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_septy, -- .ff_tx_septy + eth_tse_0_mac_misc_connection_tx_ff_uflow => CONNECTED_TO_eth_tse_0_mac_misc_connection_tx_ff_uflow, -- .tx_ff_uflow + eth_tse_0_mac_misc_connection_ff_tx_a_full => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_a_full, -- .ff_tx_a_full + eth_tse_0_mac_misc_connection_ff_tx_a_empty => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_a_empty, -- .ff_tx_a_empty + eth_tse_0_mac_misc_connection_rx_err_stat => CONNECTED_TO_eth_tse_0_mac_misc_connection_rx_err_stat, -- .rx_err_stat + eth_tse_0_mac_misc_connection_rx_frm_type => CONNECTED_TO_eth_tse_0_mac_misc_connection_rx_frm_type, -- .rx_frm_type + eth_tse_0_mac_misc_connection_ff_rx_dsav => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_rx_dsav, -- .ff_rx_dsav + eth_tse_0_mac_misc_connection_ff_rx_a_full => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_rx_a_full, -- .ff_rx_a_full + eth_tse_0_mac_misc_connection_ff_rx_a_empty => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_rx_a_empty, -- .ff_rx_a_empty + eth_tse_0_receive_data => CONNECTED_TO_eth_tse_0_receive_data, -- eth_tse_0_receive.data + eth_tse_0_receive_endofpacket => CONNECTED_TO_eth_tse_0_receive_endofpacket, -- .endofpacket + eth_tse_0_receive_error => CONNECTED_TO_eth_tse_0_receive_error, -- .error + eth_tse_0_receive_empty => CONNECTED_TO_eth_tse_0_receive_empty, -- .empty + eth_tse_0_receive_ready => CONNECTED_TO_eth_tse_0_receive_ready, -- .ready + eth_tse_0_receive_startofpacket => CONNECTED_TO_eth_tse_0_receive_startofpacket, -- .startofpacket + eth_tse_0_receive_valid => CONNECTED_TO_eth_tse_0_receive_valid, -- .valid + eth_tse_0_rx_analogreset_rx_analogreset => CONNECTED_TO_eth_tse_0_rx_analogreset_rx_analogreset, -- eth_tse_0_rx_analogreset.rx_analogreset + eth_tse_0_rx_cal_busy_rx_cal_busy => CONNECTED_TO_eth_tse_0_rx_cal_busy_rx_cal_busy, -- eth_tse_0_rx_cal_busy.rx_cal_busy + eth_tse_0_rx_digitalreset_rx_digitalreset => CONNECTED_TO_eth_tse_0_rx_digitalreset_rx_digitalreset, -- eth_tse_0_rx_digitalreset.rx_digitalreset + eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata => CONNECTED_TO_eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata, -- eth_tse_0_rx_is_lockedtodata.rx_is_lockedtodata + eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref => CONNECTED_TO_eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref, -- eth_tse_0_rx_is_lockedtoref.rx_is_lockedtoref + eth_tse_0_rx_set_locktodata_rx_set_locktodata => CONNECTED_TO_eth_tse_0_rx_set_locktodata_rx_set_locktodata, -- eth_tse_0_rx_set_locktodata.rx_set_locktodata + eth_tse_0_rx_set_locktoref_rx_set_locktoref => CONNECTED_TO_eth_tse_0_rx_set_locktoref_rx_set_locktoref, -- eth_tse_0_rx_set_locktoref.rx_set_locktoref + eth_tse_0_serdes_control_connection_export => CONNECTED_TO_eth_tse_0_serdes_control_connection_export, -- eth_tse_0_serdes_control_connection.export eth_tse_0_serial_connection_rxp => CONNECTED_TO_eth_tse_0_serial_connection_rxp, -- eth_tse_0_serial_connection.rxp eth_tse_0_serial_connection_txp => CONNECTED_TO_eth_tse_0_serial_connection_txp, -- .txp eth_tse_0_status_led_connection_crs => CONNECTED_TO_eth_tse_0_status_led_connection_crs, -- eth_tse_0_status_led_connection.crs @@ -351,15 +378,6 @@ eth_tse_0_status_led_connection_an => CONNECTED_TO_eth_tse_0_status_led_connection_an, -- .an eth_tse_0_status_led_connection_char_err => CONNECTED_TO_eth_tse_0_status_led_connection_char_err, -- .char_err eth_tse_0_status_led_connection_disp_err => CONNECTED_TO_eth_tse_0_status_led_connection_disp_err, -- .disp_err - reset_reset_n => CONNECTED_TO_reset_reset_n, -- reset.reset_n - reset_0_reset_n => CONNECTED_TO_reset_0_reset_n, -- reset_0.reset_n - eth_tse_0_receive_data => CONNECTED_TO_eth_tse_0_receive_data, -- eth_tse_0_receive.data - eth_tse_0_receive_endofpacket => CONNECTED_TO_eth_tse_0_receive_endofpacket, -- .endofpacket - eth_tse_0_receive_error => CONNECTED_TO_eth_tse_0_receive_error, -- .error - eth_tse_0_receive_empty => CONNECTED_TO_eth_tse_0_receive_empty, -- .empty - eth_tse_0_receive_ready => CONNECTED_TO_eth_tse_0_receive_ready, -- .ready - eth_tse_0_receive_startofpacket => CONNECTED_TO_eth_tse_0_receive_startofpacket, -- .startofpacket - eth_tse_0_receive_valid => CONNECTED_TO_eth_tse_0_receive_valid, -- .valid eth_tse_0_transmit_data => CONNECTED_TO_eth_tse_0_transmit_data, -- eth_tse_0_transmit.data eth_tse_0_transmit_endofpacket => CONNECTED_TO_eth_tse_0_transmit_endofpacket, -- .endofpacket eth_tse_0_transmit_error => CONNECTED_TO_eth_tse_0_transmit_error, -- .error @@ -367,33 +385,15 @@ eth_tse_0_transmit_ready => CONNECTED_TO_eth_tse_0_transmit_ready, -- .ready eth_tse_0_transmit_startofpacket => CONNECTED_TO_eth_tse_0_transmit_startofpacket, -- .startofpacket eth_tse_0_transmit_valid => CONNECTED_TO_eth_tse_0_transmit_valid, -- .valid - eth_tse_0_mac_misc_connection_magic_wakeup => CONNECTED_TO_eth_tse_0_mac_misc_connection_magic_wakeup, -- eth_tse_0_mac_misc_connection.magic_wakeup - eth_tse_0_mac_misc_connection_magic_sleep_n => CONNECTED_TO_eth_tse_0_mac_misc_connection_magic_sleep_n, -- .magic_sleep_n - eth_tse_0_mac_misc_connection_ff_tx_crc_fwd => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_crc_fwd, -- .ff_tx_crc_fwd - eth_tse_0_mac_misc_connection_ff_tx_septy => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_septy, -- .ff_tx_septy - eth_tse_0_mac_misc_connection_tx_ff_uflow => CONNECTED_TO_eth_tse_0_mac_misc_connection_tx_ff_uflow, -- .tx_ff_uflow - eth_tse_0_mac_misc_connection_ff_tx_a_full => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_a_full, -- .ff_tx_a_full - eth_tse_0_mac_misc_connection_ff_tx_a_empty => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_tx_a_empty, -- .ff_tx_a_empty - eth_tse_0_mac_misc_connection_rx_err_stat => CONNECTED_TO_eth_tse_0_mac_misc_connection_rx_err_stat, -- .rx_err_stat - eth_tse_0_mac_misc_connection_rx_frm_type => CONNECTED_TO_eth_tse_0_mac_misc_connection_rx_frm_type, -- .rx_frm_type - eth_tse_0_mac_misc_connection_ff_rx_dsav => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_rx_dsav, -- .ff_rx_dsav - eth_tse_0_mac_misc_connection_ff_rx_a_full => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_rx_a_full, -- .ff_rx_a_full - eth_tse_0_mac_misc_connection_ff_rx_a_empty => CONNECTED_TO_eth_tse_0_mac_misc_connection_ff_rx_a_empty, -- .ff_rx_a_empty - eth_tse_0_serdes_control_connection_export => CONNECTED_TO_eth_tse_0_serdes_control_connection_export, -- eth_tse_0_serdes_control_connection.export - eth_tse_0_rx_analogreset_rx_analogreset => CONNECTED_TO_eth_tse_0_rx_analogreset_rx_analogreset, -- eth_tse_0_rx_analogreset.rx_analogreset - eth_tse_0_tx_digitalreset_tx_digitalreset => CONNECTED_TO_eth_tse_0_tx_digitalreset_tx_digitalreset, -- eth_tse_0_tx_digitalreset.tx_digitalreset eth_tse_0_tx_analogreset_tx_analogreset => CONNECTED_TO_eth_tse_0_tx_analogreset_tx_analogreset, -- eth_tse_0_tx_analogreset.tx_analogreset - eth_tse_0_tx_serial_clk_clk => CONNECTED_TO_eth_tse_0_tx_serial_clk_clk, -- eth_tse_0_tx_serial_clk.clk - eth_tse_0_rx_digitalreset_rx_digitalreset => CONNECTED_TO_eth_tse_0_rx_digitalreset_rx_digitalreset, -- eth_tse_0_rx_digitalreset.rx_digitalreset eth_tse_0_tx_cal_busy_tx_cal_busy => CONNECTED_TO_eth_tse_0_tx_cal_busy_tx_cal_busy, -- eth_tse_0_tx_cal_busy.tx_cal_busy - eth_tse_0_rx_cal_busy_rx_cal_busy => CONNECTED_TO_eth_tse_0_rx_cal_busy_rx_cal_busy, -- eth_tse_0_rx_cal_busy.rx_cal_busy - eth_tse_0_rx_set_locktodata_rx_set_locktodata => CONNECTED_TO_eth_tse_0_rx_set_locktodata_rx_set_locktodata, -- eth_tse_0_rx_set_locktodata.rx_set_locktodata - eth_tse_0_rx_set_locktoref_rx_set_locktoref => CONNECTED_TO_eth_tse_0_rx_set_locktoref_rx_set_locktoref, -- eth_tse_0_rx_set_locktoref.rx_set_locktoref - eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref => CONNECTED_TO_eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref, -- eth_tse_0_rx_is_lockedtoref.rx_is_lockedtoref - eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata => CONNECTED_TO_eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata, -- eth_tse_0_rx_is_lockedtodata.rx_is_lockedtodata - xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown => CONNECTED_TO_xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown, -- xcvr_atx_pll_a10_0_pll_powerdown.pll_powerdown - xcvr_atx_pll_a10_0_tx_serial_clk_clk => CONNECTED_TO_xcvr_atx_pll_a10_0_tx_serial_clk_clk, -- xcvr_atx_pll_a10_0_tx_serial_clk.clk + eth_tse_0_tx_digitalreset_tx_digitalreset => CONNECTED_TO_eth_tse_0_tx_digitalreset_tx_digitalreset, -- eth_tse_0_tx_digitalreset.tx_digitalreset + eth_tse_0_tx_serial_clk_clk => CONNECTED_TO_eth_tse_0_tx_serial_clk_clk, -- eth_tse_0_tx_serial_clk.clk + reset_125m_reset_n => CONNECTED_TO_reset_125m_reset_n, -- reset_125m.reset_n + reset_50m_reset_n => CONNECTED_TO_reset_50m_reset_n, -- reset_50m.reset_n + xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy => CONNECTED_TO_xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy, -- xcvr_atx_pll_a10_0_pll_cal_busy.pll_cal_busy xcvr_atx_pll_a10_0_pll_locked_pll_locked => CONNECTED_TO_xcvr_atx_pll_a10_0_pll_locked_pll_locked, -- xcvr_atx_pll_a10_0_pll_locked.pll_locked - xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy => CONNECTED_TO_xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy -- xcvr_atx_pll_a10_0_pll_cal_busy.pll_cal_busy + xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown => CONNECTED_TO_xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown, -- xcvr_atx_pll_a10_0_pll_powerdown.pll_powerdown + xcvr_atx_pll_a10_0_tx_serial_clk_clk => CONNECTED_TO_xcvr_atx_pll_a10_0_tx_serial_clk_clk -- xcvr_atx_pll_a10_0_tx_serial_clk.clk ); diff --git a/quartus/qsys/arria10_hps/synth/arria10_hps.v b/quartus/qsys/arria10_hps/synth/arria10_hps.v index 00e9f7e9d0f04e9068aa3d6bf1724391c33e55c0..321cf1712ac846dc16e5a7830fe2e382eacec925 100644 --- a/quartus/qsys/arria10_hps/synth/arria10_hps.v +++ b/quartus/qsys/arria10_hps/synth/arria10_hps.v @@ -140,8 +140,9 @@ module arria10_hps ( inout wire a10_hps_io_hps_io_phery_i2c1_SCL, // .hps_io_phery_i2c1_SCL input wire axi_clk_clk, // axi_clk.clk input wire axi_reset_reset_n, // axi_reset.reset_n - input wire clk_clk, // clk.clk - input wire clk_0_clk, // clk_0.clk + + input wire clk_125m_clk, // clk_125m.clk + input wire clk_50m_clk, // clk_50m.clk output wire eth_tse_0_mac_mdio_connection_mdc, // eth_tse_0_mac_mdio_connection.mdc input wire eth_tse_0_mac_mdio_connection_mdio_in, // .mdio_in output wire eth_tse_0_mac_mdio_connection_mdio_out, // .mdio_out @@ -193,8 +194,8 @@ module arria10_hps ( output wire [0:0] eth_tse_0_tx_cal_busy_tx_cal_busy, // eth_tse_0_tx_cal_busy.tx_cal_busy input wire [0:0] eth_tse_0_tx_digitalreset_tx_digitalreset, // eth_tse_0_tx_digitalreset.tx_digitalreset input wire [0:0] eth_tse_0_tx_serial_clk_clk, // eth_tse_0_tx_serial_clk.clk - input wire reset_reset_n, // reset.reset_n - input wire reset_0_reset_n, // reset_0.reset_n + input wire reset_125m_reset_n, // reset_125m.reset_n + input wire reset_50m_reset_n, // reset_50m.reset_n output wire xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy, // xcvr_atx_pll_a10_0_pll_cal_busy.pll_cal_busy output wire xcvr_atx_pll_a10_0_pll_locked_pll_locked, // xcvr_atx_pll_a10_0_pll_locked.pll_locked input wire xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown, // xcvr_atx_pll_a10_0_pll_powerdown.pll_powerdown @@ -431,7 +432,7 @@ module arria10_hps ( ); arria10_hps_altera_eth_tse_221_2txhhaq eth_tse_0 ( - .clk (clk_0_clk), // control_port_clock_connection.clk + .clk (clk_50m_clk), // control_port_clock_connection.clk .reset (rst_controller_001_reset_out_reset), // reset_connection.reset .reg_data_out (mm_interconnect_0_eth_tse_0_control_port_readdata), // control_port.readdata .reg_rd (mm_interconnect_0_eth_tse_0_control_port_read), // .read @@ -439,8 +440,8 @@ module arria10_hps ( .reg_wr (mm_interconnect_0_eth_tse_0_control_port_write), // .write .reg_busy (mm_interconnect_0_eth_tse_0_control_port_waitrequest), // .waitrequest .reg_addr (mm_interconnect_0_eth_tse_0_control_port_address), // .address - .ff_rx_clk (clk_0_clk), // receive_clock_connection.clk - .ff_tx_clk (clk_0_clk), // transmit_clock_connection.clk + .ff_rx_clk (clk_50m_clk), // receive_clock_connection.clk + .ff_tx_clk (clk_50m_clk), // transmit_clock_connection.clk .ff_rx_data (eth_tse_0_receive_data), // receive.data .ff_rx_eop (eth_tse_0_receive_endofpacket), // .endofpacket .rx_err (eth_tse_0_receive_error), // .error @@ -471,7 +472,7 @@ module arria10_hps ( .ff_rx_dsav (eth_tse_0_mac_misc_connection_ff_rx_dsav), // .ff_rx_dsav .ff_rx_a_full (eth_tse_0_mac_misc_connection_ff_rx_a_full), // .ff_rx_a_full .ff_rx_a_empty (eth_tse_0_mac_misc_connection_ff_rx_a_empty), // .ff_rx_a_empty - .ref_clk (clk_clk), // pcs_ref_clk_clock_connection.clk + .ref_clk (clk_125m_clk), // pcs_ref_clk_clock_connection.clk .led_crs (eth_tse_0_status_led_connection_crs), // status_led_connection.crs .led_link (eth_tse_0_status_led_connection_link), // .link .led_panel_link (eth_tse_0_status_led_connection_panel_link), // .panel_link @@ -483,7 +484,7 @@ module arria10_hps ( .rxp (eth_tse_0_serial_connection_rxp), // serial_connection.rxp .txp (eth_tse_0_serial_connection_txp), // .txp .tx_serial_clk (eth_tse_0_tx_serial_clk_clk), // tx_serial_clk.clk - .rx_cdr_refclk (clk_clk), // rx_cdr_refclk.clk + .rx_cdr_refclk (clk_125m_clk), // rx_cdr_refclk.clk .tx_analogreset (eth_tse_0_tx_analogreset_tx_analogreset), // tx_analogreset.tx_analogreset .tx_digitalreset (eth_tse_0_tx_digitalreset_tx_digitalreset), // tx_digitalreset.tx_digitalreset .rx_analogreset (eth_tse_0_rx_analogreset_rx_analogreset), // rx_analogreset.rx_analogreset @@ -595,7 +596,7 @@ module arria10_hps ( .hssi_pma_cgb_master_input_select_gen3 ("unused") ) xcvr_atx_pll_a10_0 ( .pll_powerdown (xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown), // pll_powerdown.pll_powerdown - .pll_refclk0 (clk_clk), // pll_refclk0.clk + .pll_refclk0 (clk_125m_clk), // pll_refclk0.clk .tx_serial_clk (xcvr_atx_pll_a10_0_tx_serial_clk_clk), // tx_serial_clk.clk .pll_locked (xcvr_atx_pll_a10_0_pll_locked_pll_locked), // pll_locked.pll_locked .pll_cal_busy (xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy), // pll_cal_busy.pll_cal_busy @@ -681,7 +682,7 @@ module arria10_hps ( .a10_hps_h2f_axi_master_rvalid (a10_hps_h2f_axi_master_rvalid), // .rvalid .a10_hps_h2f_axi_master_rready (a10_hps_h2f_axi_master_rready), // .rready .clk_0_clk_clk (axi_clk_clk), // clk_0_clk.clk - .clk_50m_clk_clk (clk_0_clk), // clk_50m_clk.clk + .clk_50m_clk_clk (clk_50m_clk), // clk_50m_clk.clk .a10_hps_h2f_axi_reset_reset_bridge_in_reset_reset (rst_controller_reset_out_reset), // a10_hps_h2f_axi_reset_reset_bridge_in_reset.reset .eth_tse_0_reset_connection_reset_bridge_in_reset_reset (rst_controller_001_reset_out_reset), // eth_tse_0_reset_connection_reset_bridge_in_reset.reset .eth_tse_0_control_port_address (mm_interconnect_0_eth_tse_0_control_port_address), // eth_tse_0_control_port.address @@ -781,9 +782,9 @@ module arria10_hps ( .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_001 ( - .reset_in0 (~reset_0_reset_n), // reset_in0.reset - .reset_in1 (~reset_reset_n), // reset_in1.reset - .clk (clk_0_clk), // clk.clk + .reset_in0 (~reset_50m_reset_n), // reset_in0.reset + .reset_in1 (~reset_125m_reset_n), // reset_in1.reset + .clk (clk_50m_clk), // clk.clk .reset_out (rst_controller_001_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) diff --git a/rtl/hps/hps_wrapper.sv b/rtl/hps/hps_wrapper.sv index aefc1505cac765a059291214514c5d039d0bbe5e..3fa08caa8faa3168a5b10c2e2b7b4e37935edb33 100644 --- a/rtl/hps/hps_wrapper.sv +++ b/rtl/hps/hps_wrapper.sv @@ -90,7 +90,66 @@ module hps_wrapper ( input wire a10_hps_phery_uart1_RX, output wire a10_hps_phery_uart1_TX, inout wire a10_hps_phery_i2c1_SDA, - inout wire a10_hps_phery_i2c1_SCL + inout wire a10_hps_phery_i2c1_SCL, + + input wire clk_50m_clk, // clk_50m.clk + output wire eth_tse_0_mac_mdio_connection_mdc, // eth_tse_0_mac_mdio_connection.mdc + input wire eth_tse_0_mac_mdio_connection_mdio_in, // .mdio_in + output wire eth_tse_0_mac_mdio_connection_mdio_out, // .mdio_out + output wire eth_tse_0_mac_mdio_connection_mdio_oen, // .mdio_oen + output wire eth_tse_0_mac_misc_connection_magic_wakeup, // eth_tse_0_mac_misc_connection.magic_wakeup + input wire eth_tse_0_mac_misc_connection_magic_sleep_n, // .magic_sleep_n + input wire eth_tse_0_mac_misc_connection_ff_tx_crc_fwd, // .ff_tx_crc_fwd + output wire eth_tse_0_mac_misc_connection_ff_tx_septy, // .ff_tx_septy + output wire eth_tse_0_mac_misc_connection_tx_ff_uflow, // .tx_ff_uflow + output wire eth_tse_0_mac_misc_connection_ff_tx_a_full, // .ff_tx_a_full + output wire eth_tse_0_mac_misc_connection_ff_tx_a_empty, // .ff_tx_a_empty + output wire [17:0] eth_tse_0_mac_misc_connection_rx_err_stat, // .rx_err_stat + output wire [3:0] eth_tse_0_mac_misc_connection_rx_frm_type, // .rx_frm_type + output wire eth_tse_0_mac_misc_connection_ff_rx_dsav, // .ff_rx_dsav + output wire eth_tse_0_mac_misc_connection_ff_rx_a_full, // .ff_rx_a_full + output wire eth_tse_0_mac_misc_connection_ff_rx_a_empty, // .ff_rx_a_empty + output wire [31:0] eth_tse_0_receive_data, // eth_tse_0_receive.data + output wire eth_tse_0_receive_endofpacket, // .endofpacket + output wire [5:0] eth_tse_0_receive_error, // .error + output wire [1:0] eth_tse_0_receive_empty, // .empty + input wire eth_tse_0_receive_ready, // .ready + output wire eth_tse_0_receive_startofpacket, // .startofpacket + output wire eth_tse_0_receive_valid, // .valid + input wire [0:0] eth_tse_0_rx_analogreset_rx_analogreset, // eth_tse_0_rx_analogreset.rx_analogreset + output wire [0:0] eth_tse_0_rx_cal_busy_rx_cal_busy, // eth_tse_0_rx_cal_busy.rx_cal_busy + input wire [0:0] eth_tse_0_rx_digitalreset_rx_digitalreset, // eth_tse_0_rx_digitalreset.rx_digitalreset + output wire [0:0] eth_tse_0_rx_is_lockedtodata_rx_is_lockedtodata, // eth_tse_0_rx_is_lockedtodata.rx_is_lockedtodata + output wire [0:0] eth_tse_0_rx_is_lockedtoref_rx_is_lockedtoref, // eth_tse_0_rx_is_lockedtoref.rx_is_lockedtoref + input wire [0:0] eth_tse_0_rx_set_locktodata_rx_set_locktodata, // eth_tse_0_rx_set_locktodata.rx_set_locktodata + input wire [0:0] eth_tse_0_rx_set_locktoref_rx_set_locktoref, // eth_tse_0_rx_set_locktoref.rx_set_locktoref + output wire eth_tse_0_serdes_control_connection_export, // eth_tse_0_serdes_control_connection.export + input wire eth_tse_0_serial_connection_rxp, // eth_tse_0_serial_connection.rxp + output wire eth_tse_0_serial_connection_txp, // .txp + output wire eth_tse_0_status_led_connection_crs, // eth_tse_0_status_led_connection.crs + output wire eth_tse_0_status_led_connection_link, // .link + output wire eth_tse_0_status_led_connection_panel_link, // .panel_link + output wire eth_tse_0_status_led_connection_col, // .col + output wire eth_tse_0_status_led_connection_an, // .an + output wire eth_tse_0_status_led_connection_char_err, // .char_err + output wire eth_tse_0_status_led_connection_disp_err, // .disp_err + input wire [31:0] eth_tse_0_transmit_data, // eth_tse_0_transmit.data + input wire eth_tse_0_transmit_endofpacket, // .endofpacket + input wire eth_tse_0_transmit_error, // .error + input wire [1:0] eth_tse_0_transmit_empty, // .empty + output wire eth_tse_0_transmit_ready, // .ready + input wire eth_tse_0_transmit_startofpacket, // .startofpacket + input wire eth_tse_0_transmit_valid, // .valid + input wire [0:0] eth_tse_0_tx_analogreset_tx_analogreset, // eth_tse_0_tx_analogreset.tx_analogreset + output wire [0:0] eth_tse_0_tx_cal_busy_tx_cal_busy, // eth_tse_0_tx_cal_busy.tx_cal_busy + input wire [0:0] eth_tse_0_tx_digitalreset_tx_digitalreset, // eth_tse_0_tx_digitalreset.tx_digitalreset + input wire [0:0] eth_tse_0_tx_serial_clk_clk, // eth_tse_0_tx_serial_clk.clk + input wire reset_125m_reset_n, // reset_125m.reset_n + input wire reset_50m_reset_n, // reset_50m.reset_n + output wire xcvr_atx_pll_a10_0_pll_cal_busy_pll_cal_busy, // xcvr_atx_pll_a10_0_pll_cal_busy.pll_cal_busy + output wire xcvr_atx_pll_a10_0_pll_locked_pll_locked, // xcvr_atx_pll_a10_0_pll_locked.pll_locked + input wire xcvr_atx_pll_a10_0_pll_powerdown_pll_powerdown, // xcvr_atx_pll_a10_0_pll_powerdown.pll_powerdown + output wire xcvr_atx_pll_a10_0_tx_serial_clk_clk // xcvr_atx_pll_a10_0_tx_serial_clk.clk ); // --------------------------------------- @@ -442,7 +501,22 @@ arria10_hps hps_inst ( .a10_hps_io_hps_io_phery_uart1_RX (a10_hps_phery_uart1_RX), .a10_hps_io_hps_io_phery_uart1_TX (a10_hps_phery_uart1_TX), .a10_hps_io_hps_io_phery_i2c1_SDA (a10_hps_phery_i2c1_SDA), - .a10_hps_io_hps_io_phery_i2c1_SCL (a10_hps_phery_i2c1_SCL) + .a10_hps_io_hps_io_phery_i2c1_SCL (a10_hps_phery_i2c1_SCL), + + .clk_125m_clk (clk_125m_clk), + + .clk_50m_clk (clk_50m_clk), + + + .eth_tse_0_mac_mdio_connection_mdc (eth_tse_0_mac_mdio_connection_mdc), + + .eth_tse_0_mac_mdio_connection_mdio (eth_tse_0_mac_mdio_connection_mdio), + .eth_tse_0_mac_mdio_connection_mdc_oen (eth_tse_0_mac_mdio_connection_mdc_oen), + + + + + ); emif_a10_hps hps_emif_inst (